Issue



In the News


06/01/2003







SEMI Honors Standards Contributions

MUNICH, GERMANY — Semiconductor Equipment and Materials International (SEMI) recognized three industry technologies for their achievements and contributions to the development of global semiconductor industry standards at an awards ceremony held in conjunction with the SEMICON Europa trade show in Munich in early April.

The International Collaboration Award, recognizing individuals who have provided outstanding contributions in the area of international cooperation within the SEMI Standards program, was presented to Rik Jonckheere, IMEC. Jonckheere is recognized for his leadership of the "Definitions of Specifications (Terminology) for Photomask Fabrication and Qualification" task force.

The Leadership Award, given in recognition of outstanding leadership in guiding the SEMI Standards program and for active participation in committees and task forces in the development of SEMI Standards, was awarded to Wolfgang Jantz, Fraunhofer Institute for Applied Solid State Physics. Through his contributions to SEMI Standards, Jantz has aided international collaboration with the United States and Japan in the compound semiconductor area.

The Merit Award, which honors volunteers who have made significant contributions to the semiconductor industry through the SEMI Standards program, was presented to Gerd Limmer, Siemens. Limmer led program efforts to update and extend the SEMI S2 guidelines for semiconductor manufacturing safety, including new provision for software-controlled emergency-stop systems and the use of bus-based safety technology.

FSA Unveils Survey Results

DALLAS — The Fabless Semiconductor Association (FSA), an industry organization established to achieve a more optimal balance between wafer supply and demand, recently announced the results of its quarterly wafer pricing survey. The 2003 Q1 survey gauged the average price paid per wafer by 134 fabless companies and integrated device manufacturers (IDM). The responses indicate a 6 percent sequential decrease in average wafer prices from Q4 2002 to Q1 2003.

"With lackluster wafer demand and low foundry utilization rates in Q4 2002, wafer pricing has fallen over the past several months," said Jodi Shelton, FSA co-founder and executive director. "This is not surprising given that the foundry sector is being flooded with new players, causing competitive pricing to become a key factor in customer retention strategies. We expect to see continued pricing pressure in 2003."

Results also indicate that fabless companies place greater emphasis on designing for advanced geometries than IDMs. More than 26 percent of fabless wafer orders included 0.18 µm process geometry, compared to 16 percent of all IDM orders placed. In contrast, the majority of IDM participants ordered 0.35 µm process geometry.

Overall, survey results suggest that fabless companies and IDMs paid the same average prices for wafers; however, IDMs typically ordered a higher quantity of wafers per order than fabless companies.

For more information on the report or to obtain a copy, visit FSA's Web site at www.fsa.org.

DPC Adds Database Resource, Workshop

AUSTIN, TEXAS — The Die Products Consortium (DPC) is a collaborative effort by leading microelectronics companies to expand the market for semiconductor die products. Member companies engage in ongoing projects to develop methods that promote improved die product quality, reliability, handling, shipping and associated infrastructure. Areas of focus include: known good die (KGD), bare die, flip chip, wafer-level CSP (WLCSP), chip on board (COB), system in a package (SiP) and multichip module (MCM).

Managed by Dynalog Systems Inc., the DPC recently introduced a KGD equipment and materials supplier database to continue assisting the die products industry. The database comprises companies who provide equipment or materials that support the manufacture and use of bare die, flip chips and WLCSPs. While this is a constantly "in progress" project, following is the current list of companies and product offerings:

  • Aehr Test Systems — burn-in test
  • Aptos Corp. — bump, WLCSP, RDG, MEMS bump design
  • Asymtek — manufacture of automated dispensing equipment
  • Gaiser Tool Co. — wire bonding and vacuum pick-up tools
  • Ismeca Europe SA — test handler and taping machine
  • Laurier Inc./Besi Die Handling — die sorters, tray feeders
  • Muhlbauer High Tech International — flip chip die sorter and die bonding
  • Plastronics Socket Co. — test, burn-in sockets
  • Probe 2000 — test
  • Surftape/Tempo Electronics — bare die handling, adhesive-backed carrier tape, feeders.

To remain abreast of the most current list, visit DPC at www.dieproduct.com.

In other DPC news, the consortium's International KGD Packaging and Test Workshop has charted a decade of progress, focusing on issues at the forefront of the die products industry. The 10th annual KGD Workshop, to be held September 8 to 10, 2003, in Napa, Calif., will build on the achievements of past workshops while focusing on the future. The workshop will feature technical presentations of critical significance, discussions with industry practitioners worldwide and opportunities to expand company presence within this growing market. Free tutorials will be offered to participants on September 8 to provide an introduction to die products manufacturing, assembly and reliability. Additionally, Bill Bottoms, Ph.D., chairman of the board of Third Millennium Test Solutions Inc., will give this year's keynote address. For more information on the workshop, visit www.napakgd.com.

EKRA Joins SECAP

MUNICH, GERMANY — EKRA, a German advanced screen printing technology and material deposition technology provider, has joined the Semiconductor Equipment Consortium for Advanced Packaging (SECAP). The SECAP charter is to bring leading packaging technology into manufacturing. The addition of EKRA is one more advancement for SECAP to better serve the packaging community with an integrated solution.

With EKRA's participation, SECAP is adding screen printing technology to its process equipment portfolio. Screen printing commonly is used to deposit metal and represents an alternative to electroplating and evaporation. Screen printing is used with numerous solder bumping processes in which the bump pitch typically is larger than 150 µm. In particular, screen printing is an economic way to deposit alloys for larger solder balls. Screen printing of solder bumps is done with either a metal or a photoresist stencil.

"In line with EKRA's commitment to develop the most advanced technology equipment is the need to help manufacturers achieve total optimization of their equipment process," said Joachim Kloeser, chief technology officer, EKRA. "As new technologies are being developed, they raise issues for electronics assembly manufacturers. We consider joining an organization like SECAP an important step toward demonstrating our expertise and the ways in which we can assist manufacturers to overcome these issues."

Metal deposition is one critical aspect of solder bumping. For under bump metalization (UBM) deposition, sputtering and electroless processes are common while the solder bumps themselves are either printed or electroplated. Evaporation is losing importance. Both printing and electroplating technologies have characteristic advantages and disadvantages and will be used depending on the bump pitch, product type, alloy composition and other aspects. For SECAP, it is key to have both technologies in the consortium to demonstrate either a printing or an electroplating process, depending on the application.

The SECAP process equipment portfolio now includes wafer plasma cleaning, UBM deposition, resist coating, precision photomasks, photoresist exposure and development, UBM spray etching, electroplating, resist stripping and bump reflow.

SEMICON West: Final Manufacturing

SAN JOSE, CALIF. — It is time, once again, for the SEMICON West show, sponsored by Semiconductor Equipment and Materials International (SEMI). Broken into two key areas — wafer processing taking place in San Francisco from July 14 to 16 and final manufacturing taking place in San Jose, Calif. From July 16 to 18, 2003 — SEMICON West offers something for everyone. Additionally, this year, SEMICON West will provide a unique opportunity to the MEMS community. Each exhibiting company supplying MEMS equipment, materials or services (as well as users) will be identified and will have traffic directed to their booths to enhance the overall show experience.

Touted as the industry's premier annual event, SEMICON West focuses on the technology and business of manufacturing in the semiconductor, display, MEMS and other semiconductor-related industries. Last year, more than 63,000 people registered to attend the show. Executive management participation increased 3 percent, confirming that decision-makers continue placing SEMICON West on their calendars as a must-attend event.

With final manufacturing show hours from 10 a.m. to 6 p.m. on Wednesday and Thursday, and 10 a.m. to 4 p.m. on Friday in San Jose, SEMICON West 2003 is set to offer many new programs and events, including a MEMS pavilion and conference, technology innovation showcase, fab managers forum, SEMI technology roadmap, ITRS conference, and more. Additionally, SEMICON West programs feature accomplished presenters and are designed to provide hands-on training and answers to current industry challenges. For a complete listing of programs, visit http://events.semi.org/semiconwest.

Universal Takes to the Road

BINGHAMTON, N.Y. — Universal Instruments announces a series of road show events to take place across the Americas this year. The corporation's objective is to get closer to its customers while delivering an intimate experience through the personalized introduction of numerous new products and support initiatives.

Click here to enlarge image

The 2003 road show concept is designed to strengthen customer relationships and allow the company to present new products, refined support infrastructure and corporate strategies one-on-one. The 2003 road show began at the end of the April. However, following are venues and dates still to come:

  • Binghamton, N.Y. from July 17-18
  • New England (venue TBA) in September
  • Chicago in September
  • Guadalajara, Mexico in October.

For more information or to register for an event, visit the company's Web site at uic.com
oadshow, contact the support office at (800) 432-2607 or e-mail: [email protected].

STATS Qualified for 300 mm Capabilities

SINGAPORE and MILPITAS, CALIF. — ST Assembly Test Services Ltd. (STATS), an independent semiconductor test and advanced packaging service providers, completed qualification for its front-end assembly operations for the packaging of high-performance chips from 300 mm wafers.

From a packaging standpoint, much of the challenge with the industry transition to 300 mm wafers is associated with the large physical size and weight of the 12" wafers and the way in which wafers are transported and handled during the early stages of the back-end process. To minimize human handling and potential damage to 300 mm wafers, investments must be made in front-of-line (FOL) assembly and handling equipment specifically designed for 300 mm wafers. Further complicating the FOL assembly of 300 mm wafers is the trend of advanced packaging technologies that require thinning of wafers to fit smaller device geometries. As the silicon wafers are thinned, they are at a higher risk of breakage and damage due to mishandling.

STATS has implemented an automated FOL in-line module aimed at eliminating the handling risks associated with 300 mm wafers. This is achieved by an automated taping of the wafer before the backgrinding process begins. During the backgrinding process, the integrated in-line module automatically measures wafer thickness. Upon backgrinding completion, the wafers are mounted onto metal rings. The wafer then is well supported for the detaping process in preparation for the wafer saw operation. The in-line module is capable of thinning 300 mm wafers down to 100 µm for stacked die and SiP applications.

Thermacore Designs Cold Plate for Raytheon

LANCASTER, PA. — Thermacore International Inc., a subsidiary of Modine Manufacturing Co., won a contract with Raytheon Co. to supply high-performance cold plates for use on their Theater High-altitude Area Defense (THAAD) System.

The electronics used in the THAAD System require a high-performance and lightweight cooling solution capable of transferring to a high heat load with only a small change in temperature. Accordingly, Thermacore designed a cold plate that uses heat pipes embedded in an aluminum plate to provide the necessary high-efficiency heat transfer in this space- and weight-constrained application. Additionally, the cold plate is capable of operating in harsh military environments, including high altitude, shock, vibration, and extreme temperatures and humidity.

EVG Strengthens AP Activities

SCHÄRDING, AUSTRIA — EV Group (EVG), a MEMS and semiconductor wafer processing equipment manufacturer, finalized an equipment purchase agreement with Shellcase, a Jerusalem, Israel-based supplier of chip scale packaging (CSP) solutions and provider of wafer-level contract packaging (WLP) services. The agreement includes an EVG640 Bond Aligner and an EVG520 semiautomated wafer bonding system for up to 200 mm wafers.

"Shellcase selected EVG as a partner for their volume production, which is a clear highlight in our activities in the advanced packaging market," said Peter Podesser, Ph.D., CEO of EVG. "It signifies our expertise in supplying optimized volume production equipment that guarantees stable process conditions and a most competitive cost of ownership solution."

JSR Micro Completes Facility Construction

LEUVEN, BELGIUM — JSR Micro NV, a wholly-owned subsidiary of Tokyo-based JSR Corp., completed construction of its newest semiconductor materials manufacturing facility here.

The facility represents an investment of approximately US $21 million and began production in late 2002.

The new facility will produce advanced KrF photosensitive resists using a state-of-the-art manufacturing process and have an initial capacity of 200,000 liters per year (approximately 50,000 gallons per year).

The Belgian plant will be the fourth installation in the JSR group devoted to the promotion of global business development of semiconductor materials. Other JSR facilities include operations in Yokkaichi, Japan; JSR Micro Inc. in Sunnyvale, Calif.; and JSR Micro Kyushu in Saga, Japan.

The existing plant in Belgium is scheduled to close by the end of 2004.

Palomar Technologies Delivers 38th Wire Bonder

VISTA, CALIF. — Palomar Technologies has delivered its 38th wire bonder to an international disk drive manufacturer in Asia.

The company is using Palomar's CBT 6000 automated assembly system for high-volume, precision, reliable and repeatable bonding of miniature recording head gimbal assemblies (HGA) for data storage applications used in the enterprise, PC and consumer electronics markets.

MOVERS AND SHAKERS

People


Jeffrey C. Demmin
Click here to enlarge image

Advanced Packaging Magazine's most recent Editor-in-Chief, Jeffrey C. Demmin, joined Tessera Technologies (San Jose, Calif.) as director of product marketing, focusing on the DRAM market.

Curt Erickson was named president of IC Interconnect (ICI) (Colorado Springs, Colo.), a subsidiary of Eagle Electronics. Erickson joined ICI in 1997 as vice president to establish a contract wafer bumping service dedicated to quality, low cost, quick turnaround and good customer service.

Patrick Trippel joined Henkel Loctite Corp. (Rocky Hill, Conn.), as president and general manager of the company's worldwide electronics group. Trippel will have complete oversight and responsibility for the company's $200 million global electronics business, and will report directly to Heinrich Gruen, CEO of Henkel Loctite Americas. He also will be based in the company's Industry, Calif. offices.

ASAT Holdings Ltd. (Hong Kong), and ASAT Inc. (Fremont, Calif.), appointed George A. Shaw Jr. as senior vice president of operations and managing director of manufacturing for ASAT Holdings Ltd. In this newly created position, Shaw will report to Harry R. Rozakis, CEO.

Randy Heyler, VP of business development, Newport Corp. (Irvine, Calif.), has been appointed 2003 Steering Committee Chairman for the Photonics Manufacturing Association Council (PMAC).

Companies

NanoVia LP (Londonderry, N.H.) entered into strategic talks with a leading provider of precision automated and semiautomated manufacturing equipment for the photonics, semiconductor, medical device and electronics industries. These dialogs are the next step in the company's strategy of licensing its core technologies to market leaders.

Dow Corning Corp. (Midland, Mich.) signed a definitive agreement to acquire the Raychem Power Materials Business Unit of Tyco Electronics. Under the terms of the agreement, Dow Corning will obtain the Power Materials Business Unit's fabricated materials product line.

Vitronics Soltec (The Netherlands) began constructing a new state-of-the-art facility in Oosterhour, The Netherlands, not far from the company's present location. The move was prompted by the company's continued growth and need for additional manufacturing, R&D and training facilities.

The Micromanipulator Co. (Carson City, Nev.) acquired the FA-Emission Microscopy line from Alpha Innotech. Both companies are working to provide a seamless transition to ensure that orders are delivered on time and existing FA-customers are supported.

GSI Lumonics (Wilmington, Mass.) was recognized by Samsung Electronics as one of their top 20 equipment suppliers. This recognition took the form of an award, presented to GSI Lumonics President and CEO Charles Winston.


Have any company news?
Send your press release to Jenny Popp ([email protected]) and Ryan Cliche ([email protected]).