Issue



In the News


05/01/2003







Amkor Partners with Sharp to Unify Package Designs

CHANDLER, ARIZ. — To better address increasing requirements for smaller mobile applications with higher functionality, Amkor Technology Inc. and Sharp Corp. agreed to unify the design for 3-D system-in-package technology that enables the stacking of very thin packages. Targeting ASICs, DSPs, and memories for the cell phone, PDA and digital still camera markets, the two companies will develop and enhance a standard industry stacked package format using Amkor's stackable etCSP package and Sharp's package stacked chip scale package (CSP). The first proposal for the new package format, coined 3D-SiP, will be to standardize the terminal position of individual, stackable packages containing ASIC and memory devices.

This agreement creates a de facto standard for stacked packaging capabilities, with Sharp offering compact, high-density products through its package-stacked CSP and Amkor offering its etCSP package for mobile applications such as advanced cellular phones. Standardizing stacked packaging requirements gives developers of consumer electronics access to multi-source suppliers for their logic and memory devices.

Using 3D-SiP format, individual packages can be stacked onto one another to combine large scale integrations (LSIs). This technology will help reduce development time of new devices because customers can use and stack the existing packages already qualified individually when a new product is developed.

In other Amkor news, the company sold its wafer fabrication services business to Korean-based Anam Semiconductor Inc. (ASI) for a total price of $62 million. Amkor also confirmed that it has obtained releases regarding its contractual obligation to perform wafer fabrication services subsequent to the transfer of the business to ASI.

SEZ Group Focuses on Single-wafer Technology

VILLACH, ZURICH, SWITZERLAND

The SEZ Group has taken steps to refocus its resources on single-wafer wet surface preparation technology. This move includes closing its wet bench production facility in Donaueschingen, Germany. The second step in this prioritization is the shift of resources to SEZ's core business—patented single-wafer equipment for microchip production.

As part of the withdrawal from the wet bench business, the company will be required to depreciate assets, which will be charged back to the business year 2002. Therefore, the SEZ Group will show a net loss in the range of US $9 million to US $11.2 million (CHF 12 to 15 million) instead of the originally expected net profit of more than US $7.5 million (CHF 10 million).

Technological developments in microchip manufacturing indicate a growing need for single-wafer technology. By refocusing the company's efforts and resources, the SEZ Group will be better positioned to provide sustainable growth.

Additionally, the company expects the elimination of the wet bench business to provide new opportunities to expand its customer base without having to compete in the low-margin wet bench equipment market.

BTU International Joins SECAP

NORTH BILLERICA, MASS. — BTU International, a thermal processing solutions supplier to the semiconductor packaging market, joined the Semiconductor Equipment Consortium for Advanced Packaging (SECAP).

BTU will install a Paragon wafer bump reflow system with fully integrated automated handling and flux coating in the 300-mm Unitive-SECAP line at Unitive Taiwan and will be a Primary Member of SECAP. With BTU's participation, SECAP adds a proven solder bump reflow process solution to its equipment portfolio, enabling SECAP to serve the packaging community with an integrated offering.

Established in July 2000, SECAP is a consortium of leading equipment suppliers to the advanced packaging industry. Members include Semitool, Suss MicroTec, Image Technology, NEXX Systems, Matrix Integrated Systems, the Fraunhofer Institute for Reliability and Microintegration (IZM) in Berlin, and now BTU International.

FSA 2003 Wafer Supply and Demand, Packaging Survey Results

SAN JOSE, CALIF. — The Fabless Semiconductor Association (FSA) announced the results of its 10th annual "Wafer Supply & Demand and Packaging Survey," with analysis provided by Gartner Dataquest and conducted in conjunction with PricewaterhouseCoopers LLP.

The responses indicate a positive trend with a 38 percent average annual growth in wafer demand in 2003 over 2002. Survey participants, which included 152 fabless companies and integrated device manufacturers (IDM), expect a short-term 3 percent decreases in wafer demand from 4Q 2002 to 1Q 2003.

Looking into the future, the survey indicates continued, compounded growth for 2003 and 2004. The findings show a trend toward a sequential increase in wafer demand per quarter during 2003. Long-term forecasts were optimistic, with all respondents also forecasting a 38 percent wafer demand increase in 2004 over 2003.

Additionally, as a result of attrition of older fabs and the delay in 300-mm ramp-up schedules, 2002 worldwide foundry capacity shrunk 6.6 percent, down from 2001's production high of 13.9 million to 13.0 million 8" wafer equivalents. Many of the cash-strapped companies were forced to rationalize holdings or sell divisions in order to stay lean and viable. Further consolidation is likely as market competition heightens in the future.

Teradyne Expands Chinese Presence

SHANGHAI — Teradyne Inc. recently opened the doors of a new Shanghai office and manufacturing facility, expanding the company's operations in China. The 84,000 sq ft office will serve Chinese and multinational customers requiring test systems for a broad range of test and inspection systems for semiconductor devices, printed circuit boards (PCB), high-density connectors and backplanes, and complex system integration and test services.

Since 1979, when the company's first test system was shipped to a Chinese factory for semiconductor device manufacturing, Teradyne has grown with China's technology industry. A large number of semiconductor and PCB test and inspection systems are installed in China. Additionally, the company provides connections systems products and services to a growing list of Chinese communication companies. Driven by these combined activities, Teradyne expects to double the current employment of 80 people by year-end.

Newport Introduces Optics Prototyping Program

IRVINE, CALIF. — Custom optics now are available in as little time as a week with Newport Corp.'s new rapid prototyping program.

Click here to enlarge image

The fast-track program is designed to manufacture and ship custom spherical lenses, aspheric lenses, windows and mirrors much faster than typical suppliers, where normal lead times for custom optics range from six to 16 weeks.

The manufacture of custom optics often is considered a process bottleneck in product development. If changes are necessary to the new product's optical design, ..it can have disastrous consequences for the customer's development project. Newport's prototype program gives customers a "safety net," allowing them to make needed changes to their overall optical system design and still meet their target product launch dates.

SEMI Accepting Akira Inoue Award Nominations

SAN JOSE, CALIF. — Nominations for the 2003 Akira Inoue Award for outstanding achievement in environment, health and safety (EHS) in the semiconductor industry are being accepted. The award is presented by Semiconductor Equipment and Materials International (SEMI). The deadline for submitting nominations is August 29, 2003.

The Akira Inoue Award recognizes individuals in industry and academia who have made significant contributions to the semiconductor industry and to society in the area of EHS. The award honors individuals who show outstanding leadership in influencing the industry's EHS performance, or individuals who are responsible for successful process, product or material innovations that improve the industry's EHS record. An awards subcommittee of the EHS Executive Committee is responsible for reviewing all nominations.

ASAT Holding Appoints Shaw to Board of Directors

HONG KONG AND FREMONT, CALIF. — ASAT Holding Ltd., a semiconductor package design, assembly and test provider, appointed Stephen Shaw to the Board of Directors effective immediately.

Shaw recently retired as a senior partner at McKinsey and Co., a global management consulting firm covering a broad range of industry sectors and functions.

Movers and shakers

People

John Boruch, president and COO of Amkor Technology (Chandler, Ariz.), has been re-elected to the Board of Directors of the Fabless Semiconductor Association, a semiconductor trade association.

Henkel Loctite Corp. (Industry, Calif.) named Thomas C. Lipinski as sales manager for the company's electronics business unit. In his new position, Lipinski will oversee nine sales representatives, working to grow sales of electronics products in the Midwestern, Southwestern and Western United States.

Jeffrey Dumas has been appointed to senior vice president, general counsel and secretary of ASAT Holdings Ltd. (Fremont, Calif.). Dumas is responsible for managing and directing the company's general and corporate legal matters.


Gregory Peterson
Click here to enlarge image

PolarFab (Bloomington, Minn.) promoted Gregory Peterson to CEO and president. Peterson, who joined the company in 1986 when it was VTC Inc., started as a treasurer/controller, was promoted to vice president and CFO in 1989, and became an executive vice president and CFO in 1998. When the company was renamed PolarFab in 2000, he was appointed president, COO and CFO.

Chip Supply Inc. (Orlando, Fla.) named Cynthia (Cyndy) Hernandez to vice president of operations and Tony Hamby to director of marketing and sales. Hernandez will be responsible for production, engineering and purchasing as well as computer services. Hamby will monitor the daily activities of the electronics market and direct the company's worldwide marketing and sales efforts.

Companies

Universal Instruments (Binghamton, N.Y.) enhanced the functionality of its Web site, located at www.uic.com, by adding a shopping cart feature that enables users to order spare parts and services easily in a secure Web environment. The shopping cart is icon located on the upper left-hand part of every Web page.

Cham, Switzerland-based ESEC, a provider of chip assembly equipment, process technologies and system solutions for the semiconductor industry, streamlined its corporate structure and focused management's efforts on research and development, sales and services, and finance. The company's die-attach and wire bonder business units have been combined into a newly created division led by Juergen Steinbichler.

Adhesives Research Inc. (Glen Rock, Pa.) signed an agreement with Techno Alpha Co. Ltd. to serve as sales agent/distributor in Japan for the company's electronics and industrial technologies. In addition to representing the company's product line, Techno Alpha will advertise Adhesive Research's products in Japanese trade journals and magazines and participate in relevant Japanese trade shows.

Aura Communications Inc. (Wilmington, Mass.) chose ST Assembly Test Services Ltd. (Singapore) to provide turnkey test development, assembly and final testing services for LibertyLink, a low-power and low-cost system-on-chip solution. In addition to the above services, STATS will provide the company with turnkey support on package qualification, latch-up and electrostatic discharge testing.