Issue



Semicon West Products


07/01/2004







Stud Bumping Technology

Click here to enlarge image

A high-speed, single-pass stud bumper handles wafers up to 300 mm, WaferPRO plus bonds 22 bumps per second, depending on bump type, size and pitch. The system features ±5 µm positional accuracy at 3 sigma, and can bump to 65 µm. Also available is a WaferPRO plus kit that provides an upgrade path for existing stud bumping customers. The kit includes hardware, specialized servo code and motion profiles, and allows customers to increase existing stud bumper performance to 22 bumps per second. Kulicke & Soffa Industries Inc., Willow Grove, Pa., www.kns.com.

Manual Wire Bonder

Click here to enlarge image

The Series 5300 manual wire bonder offers the advantages of a semiautomatic bonder, including programmable loop height, U.S. power and time, electronic touchdown sensor, and variable bond weight. The bonder features a 60-mm DC motor-driven z-axis with a single-board PC offering menu-navigated teach-in software. The system is 24 × 23", simple to operate, and offers a color LED monitor and joy wheel to ensure easy start up. The bonder can handle aluminum and gold wire from 17.5 to 75.0 µm for wedge-wedge or ball-wedge applications, as well as ribbons up to 200 µm. Heated and unheated work holders are available from this company. F & K Delvotec Inc., Foothill Ranch, Calif., www.fkdelvotecusa.com.

Wafer Bumping Solder Paste

Click here to enlarge image

Formulated for in situ stencil wafer bumping applications, SE-CURE 7501 provides good printing performance, even with bump pitches below 125 µm. The bumping paste reduces voids consistently below 10 percent on a range of bumped pitches. The paste prints easily and features a viscosity that is stable over a minimum of a manufacturing shift, which provides a stable and consistent printing operation. It is designed to provide a drop-in solution that removes organic residues. The paste is available with Sn/Pb, as well as optimized formulations for lead-free and other solder alloys. Kester Inc., Des Plaines,Ill.,www.kester. com.

Decentralized Control

This company introduces a decentralized control concept that puts as much intelligence as possible into the device level to produce advanced electric drives, control and pneumatic technologies. In the semiconductor industry, motion control is key. With centralized control architecture, complex process and movements overtax the control's capabilities. With this concept, each individual drive has its own processor. As drives are added, the processor power scales up. The concept also allows for easier and faster diagnostics local to the device. Isolating the diagnostics allows machine servicing without stopping the production process. The decentralized control concept reduces the load on the central processor, requires less cabling and provides increased reliability because cables do not need to be routed back to the central device. Bosch Rexroth Corp., Hoffman Estates, Ill., www.boschrexroth-us.com.

All-temperature Cleaner

AQUANOX A4520 is for enhanced cleaning of a variety of pastes, fluxes and uncured adhesives used in the semiconductor and electronics industries. The cleaner operates at a low temperature, and features the lowest VOC available. The cleaner has less waste and emissions, and is effectively cleans more than 95 percent of the new lead-free pastes on the market. The low-foaming concentrated cleaner is effective at temperatures ranging from ambient to 160°F for removing no-clean, rosin, tacky, and lead-free flux, pastes and uncured adhesives via spray, immersion or manual applications. For spray applications, the cleaner works in a concentration range of 5 to 30 percent diluted with deionized water. Kyzen Corp., Nashville, Tenn., www.kyzen.com.

High-volume Repair

Click here to enlarge image

Fineplacer HVR system is designed for high-volume rework and repair challenges resulting from component failure, assembly error, device revision and firmware updates where a large number of boards must be repaired. The system automatically sequences the repair steps: component (including shield cans) desolder and discard, residual solder removal, and component resolder. The system features a placement accuracy of better than 10 µm and can accommodate the finest pitch, smallest bump µBGA, CSP and flip chip demands. The system is lead-free capable and can be configured with solder paste dispense and pick from waffle, tape or gelpak. Finetech Inc., Phoenix Ariz., www.finetechusa.com.

X-ray Platform

Click here to enlarge image

Cougar-VXP is a modular, versatile x-ray platform for operator flexibility. The platform addresses a range of inspection requirements throughout the industry, from basic failure analysis to high-end inspection for SMT production. The initial configuration includes a standard microfocus x-ray tube up to 160 kV, TXI control, standard 4-axis manipulator, advanced real- time image processing system, and a standard real-time image chain with high-resolution 4" image intensifier and flat-screen monitor. The system is designed for any failure analysis and assembly environment, and features approximately a 1 × 1-m footprint, 1,450-kg weight, and both front and side door service access. FEINFOCUS, Stamford, Conn., www.feinfocus.com.

Flip Chip Capillary Underfill

Click here to enlarge image

Hysol FP4547FC is a capillary flip chip underfill compatible with both clean and no-clean flux residues. The underfill protects lead-free flip chip devices and allows them to meet JEDEC Level 3/260°C moisture test requirements. The underfill also provides good moisture resistance and reduces stress. By incorporating two patented additives that remove and neutralize flux residues from between the flip chip die and substrate, the material delivers good results on high-performance assemblies. The underfill meets the new JEDEC requirements for lead-free flip chip assembly and provides thermal cycle performance. Henkel Corp., Industry, Calif., www.loctite.com/electronics.

Automated Cluster Probe System

Cluster Probing system combines multiple test configurations within one system. Using the technology behind their lithography cluster tools, the company created a back-end test system with the production efficiency found in front-end fab tools. The system consists of a family of high-throughput probe systems configured around a single high-speed robotic material handling unit. The system is flexible because of its modular hardware/software, and can be upgraded and reconfigured in the field as test needs change. Designed to minimize cleanroom space, the system uses 40 percent less floor space than individual production probers. Each probe module can be operated in manual, semi- or fully-automatic mode and removed from the cluster to be used as standalone probe systems. SUSS MicroTec AG, Munich, Germany, www.suss.com.

Automated Gold Wire Bonder

Click here to enlarge image

The Model 8000 gold ball-and-stitch thermosonic wire bonder is for complex, precision gold wire bonding applications in which flexibility, ease of programming and high throughput are desired. The bonder incorporates a 12 × 6" x-y range linear motor actuated positioner carrying a dual-axes voice coil-driven bond head with a rectilinear z-stroke of 800 mils. The Windows-NT software provides users with a programming environment for multichip, high-pin count applications while incorporating numerous in-process monitoring tools such as real-time deformation monitoring to track set down on each individual bond. The positioner enables a 72-sq.-in. work area, enabling large substrates to be bonded in one pass. Accurate targeting and wire placement of better than 5 µm are achieved using an on-screen video for "point-and-click" selection of bond placement sites. Palomar Technologies Inc., Vista, Calif., www.palomartechnologies.

Plasma Treatment System

Click here to enlarge image

A highly configurable, high-throughput plasma system, FlexTRAK features a universal architecture that accommodates numerous material handling configurations to support an assortment of variable-size form factors. Configurations include magazine-to-magazine processing for single and multiple strips or lead frames, reel-to-reel, wafer processing, and standalone for island-based production environments. The system features the following: application flexibility for direct, downstream and ion-free (patent-pending) plasma that allows treatment without exposure to the direct plasma glow discharge; a three-axis symmetrical chamber and proprietary process control for unmatched process uniformity; short cycle times with throughputs up to 480 substrates or 800 strips/hour; proprietary software and intuitive graphical interface for easy touch-screen programming; and more. March Plasma Systems, Concord, Calif., www.marchplasma.com.

Hermetic Seam Sealer

Click here to enlarge image

A hermetic package sealing system, Model 2400e parallel seam sealer, includes automatic inspection of lids prior to sealing. Data collection is possible on aspects of the process including lid placement accuracy, per-pulse power, path resistance and programmable maintenance counters. Automated systems may include lid pick-and-place and cassette automation. Environmental system control is digital, including gas mixing for nitrogen, helium and oxygen. Solid State Equipment Corp., Horsham, Pa., www.Ssecusa.com.

Stacked Package

The µZ Folder-Over package is designed for system-level integration requirements while increasing functionality and reducing product size. The package's modular, layered design enables OEMs, contract assemblers and semiconductor manufacturers to mix-and-match independently tested silicon devices — including processors, controllers and memory — from multiple sources, then stack the devices within the footprint of a single chip. Two-metal layer tape, a key building block of the technology is now available from several suppliers. Tessera Technologies Inc., San Jose, Calif., www.tessera.com.

Flip Chip Bonder

Click here to enlarge image

Designed for high-volume flip chip applications, the 8800 FC Quantum dual-head flip chip bonder, has parallel processing of up to 10,000 units per hour without affecting process times. The unit is designed for use with a full spectrum of flip chip adhesives. The unit accommodates future technological innovations with precision and high-speed attributes. Datacon Technology AG, Trevose, Pa., www.datacon.at.

Cleaning System

MicroCel centrifugal cleaning system cleans PCBs, precision parts, medical devices, bumped wafers and advanced packages, such as flip chips, MCMs, BGAs, CSPs and hybrid circuits. Improvements include new PLC control, graphical user interface, storage and retrieval of 50 recipes, adjustable programming, configurable alarms and software enhancements. MicroCel has level monitoring in the process chamber and a "pre-mix" feature in the wash tank. The entire system now has an electronic I/O. Speedline Technologies, Franklin, Mass., www.speedlinetech.com.

Die Pick-and-place

Click here to enlarge image

The A45, a 300-mm wafer automatic die pick-and-place system, has throughput of up to 3,000 units/hour and a die-sort data management capability. With its Die Sort Manager software and pattern recognition, the unit uses a probe wafer map to identify die locations and bins die to wafer-map or user-defined specifications. When a map is unavailable, it uses its vision processor to identify inked die before proceeding with a "removed inked" or "bin good" die plan. The unit assists in monitoring die after singulation. Royce Instruments, Napa, Calif., www.royceinstruments.com.

Wafer Tapes

DT105 and DT206 wafer tapes are designed for dicing substrates, such as glass, sapphire, lithium niobate, quartz, FR4 and other hard materials. The tapes offer a thick base film, which reduces burrs and minimizes potential damage to equipment caused by cutting through the material. DT206 comprises a 6-mil thick, expandable polyolefin-base film coated with a UV-sensitive adhesive and a protective release liner. D105 is a 10-mil thick PVC base film coated with q pressure-sensitive adhesive and a protective film liner. The film is transparent. NEPTCO Inc., Pawtucket, R.I., www.wafertape.com.

High-frequency Test Socket

Click here to enlarge image

A test socket for devices from 14- to 27-mm2 wide is used for manual testing of devices with pitches down to 0.50-mm, in applications with speeds from 1 GHz to more than 10 GHz, such as CSP, MicroBGA, MLF, QFN, DSP, LGA, SRAM, DRAM and flash devices. The 4-point spring probe crown on the socket ensures "scrub" on solder ball oxides for reliable contact mating. With a signal path of 0.77" (1.95-mm), the RF socket provides minimal signal loss for higher bandwidth capability. Aries Electronics Inc., Frenchtown, N.J., www.arieselectric.com.

Non-contacting CMM Probe

Click here to enlarge image

Faster 3-D part scanning, inspection and reverse engineering are available through the Metris LC50/100 high-speed, digitizing non-contact probe. The probe features a standard PH-10M/PH-10Q interface to replace conventional mechanical touch probes and enable high-speed, non-contacting scanning to 19,200 points per second. Adjustable camera and laser intensity settings support data acquisition from different surface environments. The probe is bundled with COSMOS CMM and CADCompare Software. Mitutoyo America Corp., Aurora, Ill., www.mitutoyo.com.

Automatic Test Equipment

Click here to enlarge image

The Maverick series of automatic test equipment (ATE) are available in two speeds: the base configuration of 66 MHz and the enhanced Maverick II at 133 MHz. The series is designed to provide low-cost testing of flash memories, microcontrollers, specialty logic and SOC.devices. Maverick PT offers 64 pins for engineering and compact production; Maverick GT has 512 pins for ASICs and 64 parallel test sites for consumer chips.; and Maverick VT is a 256-pin solution that requires only 5 square feet of floor space. Nextest, Sunnyvale, Calif., www.nextest.com.

Solder Paste

The Indium6.2 water-soluble solder paste is a halide-free paste that supports stencil life and eliminates waste. Features include: wide humidity tolerance for consistent printing, sharp print definition for fine-pitch applications, long tack time and strength, slump resistance, wettability and a wide reflow profile. Indium Corp., Utica, NY, www.indium.com.

X-ray Inspection

Click here to enlarge image

The XiDAT XD7600 is an x-ray inspection system whose design provides for oblique angle views of up to 70 degrees for any position, 360 degrees around any point of the entire 18 x 16" (458 x 407-mm) inspection area. This manipulation allows the XD7600 to inspect all interconnections, ball, bond and wire on BGA and CSP devices. The viewing capability of the XD7600 platform is further enhanced by the NT250, a filament-free x-ray tube. This x-ray tube, coupled with a standard active anti-vibration control, provides feature recognition down to 0.25 µm (250 nm) in a maintenance-free package. Dage Precision Industries Inc., Fremont, Calif., www.dageinc.com.

Solder Bond Inspection

Engineers can evaluate a PCB without removing it from the line by using a hand-held, solder bond probe called the So/View. It is available in lateral or direct viewing configuration. The probe is placed beside components, allowing inspection under SMDs to determine bond integrity. It has an entrance pupil height of 0.3-mm, a wide field of view for optical inspection and high-intensity LED illumination. Olympus Industrial America, Orangeburg, N.Y., www.olympusmicroimaging.com.

QFN Package

The MicroLeadFrame is a near-CSP plastic encapsulated package with a copper lead frame substrate. This package uses perimeter lands on the bottom to provide electrical contact to the PCB, and provides thermal enhancement by having the die attach paddle exposed on the bottom of the package's surface. Its small size and weight, along with desirable thermal and electrical performance make the package suitable for handheld portable applications such as cell phones and PDAs. Amkor Technology, Chandler, Ariz., www.amkor.com.

Flip Chip Bonder

The Mach FC Plus is a high-speed, automated flip chip bonder with 300-mm wafer capability. The system is based on a parallel processing gantry in which the steps of picking, flipping, fluxing, vision alignment and controlled die placement are performed with asynchronous parallel motion, thereby maximizing throughput. It achieves 8-µm placement accuracy, and can be used with dies up to 53-mm square. Newport Corp., Irvine, Calif., www.newport.com.