Issue



New Products


06/01/2004







Plasma Processing System

Click here to enlarge image

The AP-1000 plasma processing system with high-throughput shelves combines the reliability and process quality of the standard AP-1000 with the company's shelf design. The processor uses the reactive ions found in RF plasma, increasing treatment uniformity while decreasing process time. All vertical electrodes are grounded with the new high-throughput design. The magazines that hold the substrates form part of the powered shelf, creating a uniform plasma glow discharge on both sides of the magazine, and enhancing DC bias on the powered shelf. The system also allows selection from a range of process gases, such as argon, hydrogen and helium. It comes standard with four mass flow controllers for gas control. Up to 12 slotted magazines can be placed vertically inside the plasma chamber. Each magazine typically holds a minimum of 20 lead frames. March Plasma Systems, Concord, Calif., www.marchplasma.com.

Fluorescence Measuring System

Click here to enlarge image

An X-ray fluorescence measuring system, Compact 5 is designed for the metal film measurement needs of the metal finishing and microelectronics industries. The system features microbeam mechanical collimation and a sample positioning stage coupled with a processed signal detection column. Fundamental parameters, measurement algorithms and Windows XP-based graphical user interface provide consistent results in multiple user and application environments. The system is network-compatible and can be programmed for automated measurements with a point-and-shoot graphic-assisted motorized programmable stage. Matrix Metrologies, Holbrook, N.Y., www.matrixmetrologies.com.

Flux Application

Click here to enlarge image

JetFlux is a precision flux application system that demonstrates hole penetration, hole fill, uniform coverage and minimal bridging in competitive tests. The system is designed to provide for applications of various fluxes at programmed flux volumes. A servo motor actuator provides reliable and precise flux volume. The system provides limited overspray and minimal maintenance. It is programmed with an advanced graphical user interface that can be integrated with the wavesolder system interface. Also, because of the precise application, flux can be applied for full coverage or for selected locations. Precision Dispensing Equipment Inc., Bay Village, Ohio, www.precisiondispensing.com.

Optical Epoxy

Epoxy 50 is an optical epoxy bonding adhesive for electro-optical applications requiring light transmission and weatherability. The two-component adhesive-sealant provides suitable bonding properties and resists breakdown, targeting it for electronics and other applications in which continuous optical clarity in challenging environments is necessary. The epoxy is also available in a flexible version, Epoxy 50FL. Transene Co., Danvers, Mass., www.transene.com.

Phototool Film

Click here to enlarge image

Duratool Eclipse is the latest addition to this company's Tecnilith phototool film. Featuring stability, high resolution and chemical resistance, the film base is designed for building multilayered PCBs. The phototool emulsion resists tears, scratches, highly abrasive chemical washes and solder masks. The film is manufactured in clear and matte finishes on 7 mil polyester film, and can increase duplication yields in diazo or silver. The films can be processed using any tabletop ammonia processor. InteliCoat Technologies, South Hadley, Mass., www.intelicoat.com.

MEMS Design Software

CoventorWare 2004 is a suite of MEMS design and simulation tools to assist IC designers, package designers and engineers involved in MEMS product development and manufacturing. The software is compatible with MEMulator 2004, another product that emulates a wide range of MEMS and semiconductor fabrication processes. Using MEMulator can save fabrication cycles by building 3-D virtual prototypes before undertaking actual fabrication. Coventor Inc., Cary, N.C., www.coventor.com.

Component Trim System

Click here to enlarge image

A high-throughput, high-performance laser trim system, TrimSmart W778 Thin Film Chip Component Trim System is for use in adjusting the resistive values of the latest generation of thin film chip resistors and resistor networks. The system is an upgrade of the company's W770 system, and provides a combination of laser pulse width, wavelength and spot size to address thin film chip component issues. The system increases capability and throughput, and produces narrower cuts with a smaller kerf, a smaller heat-affected zone and less microcracking. Devices can be adjusted up to 200 to 300X their pre-trim resistive value, depending on part type. GSI Lumonics Inc., Wilmington, Mass., www.gsilumonics.com.

Fluid Dispensing Workstation

Ultra 2400 Dispensing Workstation is a precision system that applies accurate, consistent amounts of solder paste, flux, adhesives, epoxies and other materials. The system features a vertical design to conserve benchtop space, and a 60 percent smaller footprint than standard electropneumatic dispenser configurations. Benefits include higher yields, reduced fluid costs, better process control and short operator training time. EFD Inc., East Providence, R.I., www.efd-inc.com.

Parylene Variant

Parylene HT is a new parylene variant that offers higher UV stability, a lower dielectric constant and critical component protection at higher temperatures than those available with traditional parylenes C, N and D. Medical, electronics and automotive applications require a coating that accommodates temperatures to 532°C. This parylene variant provides this protection in addition to the dry film lubricity and increased UV stability benefits. The coating also is a chemical, moisture and dielectric barrier. Biocompatible and pinhole-free, the parylene coating is applied via gas phase deposition, and is commonly used on PCBs, sensors, ferrite cores, medical devices and components, and silicone rubber parts. Specialty Coating Systems, Indianapolis, Ind., www.scscookson.com.

Probing Technology

MicroAlign enables analytical probing of test structures down to 30 × 30 µm. The technology uses three cameras to determine the position of the probecard pins in relation to the pads. A horizontal camera controls the contact height that optimizes overtravel margins and scrub parameters. A software algorithm using pattern-recognition techniques is the basis for position alignment. Wafer profiles are created with live video cameras to compensate for potential differences in wafer thickness, and the probecard is then automatically aligned to ensure that scrub lengths are varied accordingly. SUSS MicroTec AG, Munich, Germany, www.suss.com.

Lead-free CSP

A dual-row version of the company's quad leadless package, Dual Row Quad Leadless Package (QLP-DR), delivers higher I/O performance in a cost-effective package for wireless and other handheld applications. The package's increased I/O terminal pads in a smaller footprint result in increased performance capability. The package is available with a non-exposed pad to enable higher density board-level routing. The lead-free package uses the same assembly and test processes as the standard quad leadless package, but offers a high-performance device with high yield and reliability at a lower cost than many laminate or tape substrate-based CSP and WLCSPs. ST Assembly Test Services Ltd., Singapore and Milpitas, Calif., www.stts.com.

Metal-matrix Composite

Click here to enlarge image

A newly available metal-matrix composite, AlSiC provides high-performance lids, or heat spreaders, for flip chips. The composite enables a tailored CTE, and offers compatibility with various electronic devices and assemblies. The AlSiC net-shape fabrication process produces both the composite material and fabricates the product geometry, allowing rapid prototyping for high-volume advanced thermal solutions. CPS Corp., Chartley, Mass., www.alsic.com.

Copper Capillary

Click here to enlarge image

A copper capillary, called CuPRA, is now available for all types of IC wire bonding. The capillary, which can handle copper wire from 0.8 mil to 3.0 thicknesses, is designed for a wide range of applications from fine-pitch wire bonding of more than 500 I/Os to low pin count devices found in power and automotive applications. Kulicke & Soffa Industries Inc., Willow Grove, Pa., www.kns.com.

Plasma System Design

The HP Series consists of plasma systems designed for the production needs of the electronics industry. The design features the advantages of the company's MK-II Series, which are for high-speed, uniform etching. An upgraded platform features a larger capacity load. The series also offers high-capacity, high-throughput results. HP 32 features a capacity of 32 panels of 18 × 24" per load, and HP 48 offers a capacity of 48 panels of 18 × 24" per load. Plasma Etch Inc., Carson City, Nev., www.plasmatech.com.

Multi-axis Positioning System

Click here to enlarge image

A compact linear guidance system ball guideway (MINIRAIL) and tooth belt drive, MINIMODULE features an integrated tooth belt drive design that allows minimized overall module dimensions. With a small cross section and minimum height of 16 mm, the system features a compact design. The module is available with various options, and a second rail carriage can be added for higher load capacities. A maximum length of 1,142 mm is available, and a maximum stroke of 886 mm is possible. A maximum speed of 5 m/s can be achieved, and typical operation temperatures are –40º to 80ºC. In application tests, a repeatability of better than ±0.03 mm has been observed. Schneeberger Inc., Bedford, Mass., www.schneeberger.com.

Camera Verifier

A smart camera data matrix reader with a built-in verifier that uses the company's direct part mark verification capabilities, the camera is the latest addition to the HawkEye smart camera line. Data matrix 2-D codes marked directly on parts allow manufacturers to identify parts and implement unit-level traceability. To ensure the readability of direct part marks, mark quality must be verified after marking. Verifying a mark differs from reading, because it both confirms the readability of the mark and indicates how close to the edge of readability a part is. This allows users to monitor their marking processes continuously and to make changes before the marking system produces unreadable marks. This latest generation of technology is designed to eliminate the need for a separate PC, vision board, camera and lights, and allows implementing full direct mark verification allows on a compact camera. Robotic Vision Systems Inc. (RVSI), Nashua, N.H., www.rvsi.com.

Ball Grid Termination Arrays

Click here to enlarge image

TaNFilm CHC Series custom ball grid termination arrays are characterized for use as transmission line terminators at frequencies up to 40GHz. This series is designed to produce low parasitic inductance and capacitance, improving signal integrity and reducing reflections and ringing on high-speed transmission lines. Chip scale networks are available in a wide range of standard and custom resistance values from 22 to 10,000 Ω, with isolated or bused schematics incorporating from 10 to 40 resistors. The devices also feature absolute TCRs to ±100ppm/°C, standard tolerances to ±1 percent, with operating temperatures from –40° to +85°. CTT electronics, Corpus Christi, Texas, www.irctt.com.

Thin Films

Single- and multiple-layer vacuum-sputtered thin film depositions from this company are engineered to provide protective, shielding, conductive, electroluminescent and reflective properties in a broad range of electronic, medical and industrial product applications. These sputtered coatings are produced on a broad range of PET, PEN, polyimide and other flexible substrates in widths from 6 to 62", and gauges of 0.25 to 25 mils. Deposition source materials include alloys, chemicals, compound coatings, and precious and nonprecious metals. The maximum coating thickness is 5,000 Å. TECHNI-MET Inc., Windsor, Conn.

Wire Bonders

Manual wire bonder Series 5310 and 5330 were developed to meet the specific needs of pre-series and prototype production. The 5330 offers high accuracy through a DC motor-driven z-axis. An electronic sensor provides soft touchdown and minimum pre-deformation of the bonding wire. Key features of the product include programmable values for U.S.-power and U.S.-time, optimized ultrasonic system for suitable bond results, and user-friendly software. F&K Delvotec, Foothill Ranch, Calif., www.fkdelvotec.com.

Liquid Crystal Polymer

A new line of liquid crystal polymers (LCPs) makes it possible to produce hermetic plastic packages. Independent testing based on JEDEC and MIL specs confirms that this specially formulated LCP meets the current and future performance requirements for packaging semiconductor devices. By combining this LCP with the company's proprietary molding process, according to the company, air cavity, RF power and optical packages are produced that set a new standard in the cost-to-performance ratio. This company offers industry-standard packages for use in telecom, medical, military and automotive markets, and designs and manufactures custom packages to meet the performance requirements of any application. Quantum Leap Packaging Inc., Wilmington, Mass.

Microtest System

The model 5848 MicroTester is designed for testing microelectronic devices, photonic, MEMS and other small components. Applications for this model include semiconductor die shear and pull tests, tensile testing of wires, flex testing of circuit boards and substrates, and peel tests of thin films and substrates. With a load capacity of 2 kN, this system provides the submicron position measurement accuracy, load and position control capability required for static testing of microcomponents. The model includes a rigid frame that can be used in either horizontal or vertical orientations. An encoder mounted on the loading actuator provides position measurement resolution of better than 20 nm. Instron, Canton, Mass., www.instron.com.

Dry Photoresist

The WB Series dry photoresist is an alternative to liquid resists for semiconductor wafer bumping. With a thick and dry composition (from 50 to more than 100 µm), it can be applied in one step, without requiring solvents or drying time. Users can achieve uniformity in thickness from edge to edge of the wafer, regardless of the wafer size being produced. This product is available in lead-free, photostencil and standard electroplating applications. DuPont Electronic Technologies, Research Triangle Park, N.C., www.dupont.com.

Voltage-controlled Oscillator

A new model of high-performance voltage-controlled oscillator (VCO), the CVCO55BH-5256-5356, generates a frequency range of 1 to 4 DVdc. It has a low phase noise performance of –86dBc/Hz at 10 kHZ, and delivers output power of ±2.0 dBm into a 50Ω load, with a supply of +5 Vdc and a typical currrent consumption of 25 mA. This VCO can be used in applications such as fixed wireless access and satellite communications systems. Crystek Crystals Corp., Fort Meyers, Fla., www.crystek.com.

Lead-free BGA Sockets

Click here to enlarge image

To help the industry comply with worldwide initiatives for lead-free manufacturing, this company is offering lead-free solder ball termination options on select BGA sockets. The sockets are molded from high-temperature, glass-filled thermoplastic that is able to withstand the higher processing temperatures required in lead-free processing. 1.00-mm pitch BGA sockets are available. These surface mount sockets feature solder ball terminals made from a Sn/Ag/Cu alloy with a reflow temperature of 218°C. Advanced Interconnections Corp., West Warwick, R.I., www.advintcorp.com.

Stackable DRAM Dies

Using a wafer stress methodology, this company provides high-performance DRAM dies for stackable and multichip applications. This stackable DRAM technology eliminates the requirement for oven-based burn-in stress testing, which enables the effective integration of DRAM into SiP applications. Integrating DRAM with other ICs in SiP reduces footprint, improves signal integrity, reduces power consumption, increases bandwidth and lowers cost. Inapac Technology Inc., San Jose, Calif., www.inapac.com.