Issue



Advanced Packaging Awards 2006


09/01/2006







3-D Packaging Technology

Click here to enlarge image

EV Group’s SmartView wafer-alignment system offers face-to-face wafer alignment with no Z-axis motion, and no refocusing required. The machine handles various thickness and material wafer and substrate sizes up to 300 mm. It works by aligning and clamping bond pairs prior to bond-chamber load. The system also features wafer-stacking capabilities with 1 µm wafer-to-wafer alignment accuracy and non-contact wafer handling. Configuration options include manual operation, semi-automated, and fully-automated. The SmartView wafer alignment system is said to eliminate the need for secondary process steps and material requirements. The product allows actual chip-size packaging, because the operator can reduce gasket width. Form factor reduction is achieved by stacking multiple chips. EV Group, St. Florian, Austria, www.evgroup.com.

Thermal Management Technology

Click here to enlarge image

Honeywell Electronic Materials’ PCM45F SP phase change material (PCM) uses a polymer system to address pump-out, allowing the material to pass 3000 temperature cycles. A specific gravity of 2.0 grams/cm3 makes PCM45F SP 25% lighter than silicon-based greases. This allows for an increase in applications per kilogram. A lower viscosity than silicon-based grease allows for immediate screen or stencil printing. The product accepts screen-printing in various shapes, for more flexibility during chip manufacturing. The material possesses a thermal impedance of 0.07 °C-cm2/watt, yields thin bond lines, and dries rapidly after printing. It can be applied to a component, heatsink, or spreader, and offers thermal reliability after thermal cycling and highly accelerated stress testing (HAST). It changes phase at 45°C to ensure surface conformance. Filler size distribution for the product achieves a higher packaging density. Honeywell Electronic Materials, Tempe, AZ, www.honeywell.com.

Wafer-level Packaging Equipment & Materials

Click here to enlarge image

SUSS MicroTec’s C4NP Wafer Bumping Line incorporates IBM’s Controlled Collapse Chip Connection - New Process (C4NP) technology and comprises a mold fill tool (MFT), mold inspect tool (MIT) and solder transfer tool (STT). These bridge-tools suit 300-mm wafers and smaller. C4NP forms bumps with an injection-molding process that fills pre-fabricated, reusable glass templates with molten solder. The bumps are transferred to the wafer in a single process. The system uses bulk alloy without converting to paste, pre-form, or chemical solutions. Alloy independence is beneficial for ternary and quaternary lead-free alloys. Efficient solder usage allows for environmental and economic management. A finer bump size and pitch is achieved than with paste. Parallel processing, wherein the machine prepares bumps while the wafer is in process, compounds cycle time. Integrated bump inspection capability shows known good bumps prior to transfer. The system requires no complex plating. SUSS MicroTec, Inc., Waterbury Center, VT, www.suss.com

Die Attach Equipment & Materials

DirEKt Coat consists of a micron-class mass-imaging system, ultra-flat pallet, die-attach stencil or screen, and specialty squeegee. Bondline thickness can be controlled to customer specifications; fillet control is consistent, and coated wafers can be pre-manufactured and stored until required. The pallet processes wafers as thin as 100 µm and up to 300 mm. A squeegee uniformly applies adhesive paste to the backside of the wafer. Included tooling package enabling die attach down to a thickness of 25 µm with ± 7-µm tolerances. The system can also be configured for wafer bumping, DirEKt ball placement, thermal interface material (TIM) processing, and encapsulation. DEK’s DirEKt Coat effectively addresses issues with chip footprint limits, resin bleed, uneven adhesive coverage, fillet formation, and units per hour (UPH) requirements. DEK International GmbH, Zurich, Switzerland, www.dek.com

Flip Chip Attach Equipment & Materials

Click here to enlarge image

AdVantis XS combines semiconductor and standard surface mount assembly into one machine. Proprietary VRM linear motors provide accuracy and repeatability at ±9 µm at ±3 sigma. The linear-motor-driven, Class 1000 clean-room-compatible AdVantis XS from Universal Instruments includes high magnification cameras, flip chip algorithms, low force capability, heated spindles, fluxing, dispensing, and various feeder types. Universal Instruments Corp., Binghamton, NY, www.uic.com.

Handling Equipment/Fixtures

Click here to enlarge image

AutoPlacer is a flexible automated die sorting system for picking and transferring die from 150-, 200-, and 300-mm wafers to die carriers. It enables die selection as small as 100 µm2 through vision processor, wafer map, or ink dots, supporting a range of die sizes using common pick-up tip styles. For die sizes over 1500 µm, a non-surface contact (NSC) system picks die with fragile top surfaces without any top-side contact. Royce Instruments uses multi-needle eject heads, stainless steel flexures, and a motor-driven submicron resolution die ejector. Ideal for high-mix, medium volume production, the system includes indexable and adjustable wafer orientations. Output tools permit placement onto waffle packs, gel-paks, film frames, and pre-epoxied substrates. Royce Instruments Inc., Napa, CA, www.royceinstruments.com.

Dispensing/Encapsulation/Molding/Underfill Equipment & Materials

Click here to enlarge image

Fico B.V.’s AMS-W automatic molding machine encapsulates semiconductor chips, particularly ball grid arrays (BGAs) and (BOCs). A top-edge mold construction injects compound into the mold without touching the substrate. It leaves no side-rail flash, and reduces compound by up to 25%. The floating clamp blocks, determines force on each substrate separately, and adapts it to the substrate’s shape. Temperature zones in the mold help to reduce curing time. An interactive system assists the user during production. Fico B.V., Duiven, The Netherlands, www.fico.nl

Inspection/Imaging Equipment

Click here to enlarge image

The Interferometric Bump Inspection System (I.B.I.S.) performs production-volume solder bump inspection on chip carriers. Inspecting coined and non-coined solder bumps on chip carrier die-attach regions, it scans at 3,000 dph for both 2- and 3-D inspection. It provides critical dimension metrology, and reports on solder bump height, volume, circularity, and coplanarity. I.B.I.S. uses digital signal processing technology along with true white light interferometry to render digital images of the device under inspection. Inspection data files characterize samples and include defect maps. Lloyd Doyle Ltd., Surrey, England, www.lloyd-doyle.com

Novel Package Design

Click here to enlarge image

The SD-USB secure digital memory card from STATS ChipPac is interchangeable with SD card-enabled devices. A packaged module, assembled into a card housing, integrates 3-D, package-on-package (PoP), and system-in-package (SiP) technology to meet functional needs of an application. The module comprises pre-packaged SMT components, up to four stacked die, a custom-designed LED, stepped mold chase, chamfered substrate edge, chamfered in-mold design, gold-plated lead fingers, and a curvilinear outline requiring water-jet singulation. STATS ChipPAC, Boise, ID, www.statschippac.com

Package Design Software & Equipment

Click here to enlarge image

Amkor Technology’s 3-D Wirebond Checker provides 3-D spacing checks for all wire-bond manufacturing constraints, including capillary interference, Z-axis wire spacing, and design wire profiles/tiers. It considers manufacturing values such as capillary cross section, wire profile, die thickness, spacer dimensions, die attach dimensions, and bonding sequence in wire-bond machine methodology. The tool verifies and improves designs at the design stage by evaluating manufacturability to achieve better yields, and allows for faster turn times on bonding reviews. Amkor Technology, Chandler, AZ, www.amkor.com

Reflow Equipment

Click here to enlarge image

The RO300FC is a full-convection oven with a vertical, high-air volume, hot-air stream that is said to provide equal heating rates in components and substrates, leading to minimum ΔT values (±2°C). ESSEMTEC’s oven accepts both standard and lead-free pastes. Integrated RO-CONTROL software increases process simulation and control. Pre-heat and peak zone temperatures, as well as conveyor speed, are programmable. The oven processes prototypes, small batches, and production runs, up to 700 substrates in an eight-hour shift. ESSEMTEC USA, Glassboro, NJ, www.essemtec.com

Specialized Advanced Packaging Equipment & Materials

Click here to enlarge image

SinguLign offers high-accuracy mass-imaging of solder paste, solder spheres, flux, and adhesive onto singulated substrates or components directly from the carrier. An accurate printing platform, specialized tooling, a carrier, and a miniaturized print or ball placement head enable repeatable and precise deposits to be individually applied to parts. The tooling and parts handling mechanisms allow for print support and high-speed processing times. SinguLign individually aligns and images multiple substrates and components at ultra-fine pitch. DEK International GmbH, Zurich, Switzerland, www.dek.com

Testing Equipment & Services

The 4000HS high-speed bond tester from Dage detects brittle fracture failures in the ball-to-pad interconnection of electronic products using lead-free BGA or CSP devices. Updated capabilities on the 4000HS generation include digital transducer signal processing, force versus displacement (FvD) curves, and fractional energy calculations. Patented jaw technology and quick-change shear and tensile (cold bump pull) fixtures allow for joint failure analysis, impact testing applications, lead-free solder ball joint evaluation, or shear testing of multiple bonds simultaneously. 4000HS is equipped with programmable test parameters. Dage Precision Industries, Fremont, CA, www.dageinc.com.

Wire Bonding Equipment

Click here to enlarge image

Based on the Maxµm platform, K&S produced Maxµm ultra, which offers higher reliability and greater productivity to address demanding wire bonding applications. The ultra incorporates a bond force control system with piezo sensors that does not affect tool impact force. A microprocessor control system eases processing and software and data handling. The enhanced wire feed/tensioning system reduces wire feed path friction for looping consistency at higher speeds. The machine also offers small ball detection and a fully programmable BITS system. For 35-µm pitch production, the wire-bonder’s accuracy reaches ±2.5µm. It is also copper wire-bonding capable. Kulicke and Soffa, Fort Washington, PA, www.kns.com.

Environmentally Friendly Materials

Click here to enlarge image

Kyzen designed the Micronox MX2188 low-chemical oxygen-demand (COD) aqueous precision cleaner for use at low concentrations to clean tight-pitch and low-standoff devices. The cleaner contains low, 102 g/L volatile organic compounds (VOCs) at 100% dilution, and is non-flammable, non-corrosive, recyclable, and multi-metal-safe. The product features long bath life and optimum performance from 120 - 160°F. It is part-marking-safe and compatible with all tested electronics industry labels (polyimide). Kyzen Corp., Nashville, TN, www.kyzen.com.

Surface Treatment Equipment & Materials

Click here to enlarge image

The Simple Green Stencil and Misprint Cleaner removes all solder pastes including rosin, water-soluble, no-clean, lead, and lead-free from stencils, screens, and misprints with short cycle times. The cleaner is free-rinsing, allowing for use in rinse or rinse-less stencil cleaning systems. The components are all biodegradable, low-toxicity compounds and the product contains no solvents. It destroys dried-on solder paste and flux residues without harming stencil construction materials such as aluminum frames, polyester screen, or metal etched foil. Aqueous Technologies Corporation, Rancho Cucamonga, CA, www.aqueoustech.com.

Quality Assurance/Management Tools

Click here to enlarge image

LEXT OLS3000-IR, a confocal laser scanning microscope designed for sub-micron imaging, offers 0.12 µm resolution and accurate three-dimensional measurement capability. The microscope, by Olympus Industrial America, powers magnification from 120 - 14,400×, a range in between the capabilities of conventional optical microscopes and scanning electron microscopes (SEMs). LEXT combines a 408-nm laser diode with tailored optics to improve image quality and limit aberrations. The corresponding software provides a user interface and analysis. Confocal optics with an additional circular pinhole, as well as an XY scanner with 0.12-µm line-width plane resolution, enhance resolving power. The LEXT does not require any sample preparation such as dicing, cleaving, or deposition and provides simultaneous 3-D and true color image acquisition. The product identifies minute surface-level variations. Olympus Industrial America, Toyko, Japan, www.olympusindustrial.com.