Issue



Advanced Packaging Awards Entry Showcase


07/01/2006







3-D PACKAGING TECHNOLOGY

Wafer Alignment

The SmartView wafer alignment system is said to eliminate the need for secondary process steps and material requirements. Due to the automated in-situ calibration mechanism, accuracy is maintained in high-volume manufacturing with minimal maintenance. High-precision, wafer-to-wafer alignment allows actual chip-size packaging, as the gasket width can be reduced in size. Form factor reduction is achieved by stacking multiple chips. EV Group, St. Florian, Austria, www.evgroup.com.

Plasma Etch

Click here to enlarge image

The Pegasus process module incorporates a high-uniformity plasma source design, proprietary parameter ramping, and silicon-on-insulator (SOI) technologies. Included software and hardware features provide reduced feature roughness and improved profile control, while maintaining high etch rates. The system is fully compatible with any of STS’ modular platform configurations. A deep reactive ion etching (DRIE) advanced silicon etch (ASE) process enables vias with near-vertical sidewalls to provide electrical connections between stacked devices. Surface Technology Systems plc, Newport, Wales, www.stssystems.com.

DIE ATTACH EQUIPMENT & MATERIALS

Die Bonder

Click here to enlarge image

The 2200 evo handles up to 25 300-mm wafers on less than 1.5 sq m., performing up to 7,000 uph with a >99.95% yield for die-attach applications. An automatic flip chip bonding unit features a flux-dipping option and optional heated bond head . An automatic tool changer for up to 14 pick-and-place tools, and an eject carousel system for 5 eject tools, handles die sizes between 0.17 and 50 mm. A separate dispense unit with integrated camera system is claims to enable 25-µm accuracy at 3 sigma, and an optional second dispenser allows for independent epoxy applications. Datacon Technology GmbH, Radfeld, Austria, www.datacon.at.

Die-attach Material

Click here to enlarge image

Hysol QMI536NB claims to be a one-material solution for thin-stacked dies. Qualified for use in lead-free environments and as an alternative to film die attach, its protective and low-bleed formulation can be used for both mother and daughter die. The material is a non-conductive, PTFE-filled paste that exhibits low resin bleed with fast cure capability. It can be used on various surfaces, including solder resist, bare silicon, and multiple die passivations. The Electronics Group of Henkel, Irvine, CA, electronics.henkel.com.

Assembly Work Cell

Click here to enlarge image

The MRSI-M5 5-µm work cell provides assembly solutions for epoxy die attach, eutectic, and flip chip bonding. The machine base is formed of a cast polymer composite engineered for thermal stability and vibration dampening properties. A work area accommodates waffle packs, Gel-Paks, wafers, and feeders. The system’s fully automatic material handling conveyor operates in-line or cassette-to-cassette. The MRSI-M5 incorporates CAD download, automatic program selection, full traceability, and network connectivity. Newport Corp., North Billerica, MA, www.newport.com.

Wafer Coating

DirEKt Coat consists of a micron-class, Galaxy mass imaging system, ultra-flat pallet, die-attach stencil or screen, and squeegee. Bondline thickness can be controlled to customer specifications, fillet control is consistent, units-per-hour (uph) is said to be higher than dispensing, and coated wafers can be pre-manufactured and stored until required. The pallet processes wafers as thin as 100 µm and up to 300 mm, and the squeegee uniformly applies adhesive paste to the backside of the wafer. The system can also be configured for wafer bumping, DirEKt ball placement, thermal interface material (TIM) processing, and encapsulation. DEK International GmbH, Zurich, Switzerland, www.dek.com. (also submitted for Wafer-level Packaging Equipment & Materials)

DISPENSING/ENCAPSULATION/MOLDING/UNDERFILL EQUIPMENT & MATERIALS

Dispense System

Click here to enlarge image

The XyflexPro+ dispense system features a composite gantry design structure and linear drive system, is capable of dispensing at 45,000 dph, and maintains X-Y placement accuracy of <38 µm at 3 sigma. Intuitive software features, parallel product transfer, an optimized sequence command for underfill, and a 1-snap, edge-find algorithm for molded packages and flip chip die improve throughput. Proprietary Camalot dispense pumps use rotary or positive displacement techniques, and dispense a range of materials. This process can be performed at wafer level. Speedline Technologies, Franklin, MA, www.speedlinetech.com.

Automatic Molding

Click here to enlarge image

The AMS-W automatic molding machine encapsulates semiconductor chips, particularly BGAs and BOCs. A top-edge mold construction injects compound into the mold through a top-edge strip without touching the substrate. It leaves no side-rail flash, and reduces compound up to 25%. The floating clamp blocks, determines force on each substrate separately, and adapts it to the substrate’s shape. Temperature zones in the mold lead to a reduced curing time. An interactive help system assists the user during production. Fico B.V., Duiven, The Netherlands, www.ficomolding.com.

Dispense System

Click here to enlarge image

The Axiom X-1022 dispensing system uses parallel processing on two lanes for continuous dispensing of multi-pass or large-die underfill operations for flip chips and CSPs. A programmable conveyor accommodates various process carriers, with up to six stations of contact or impingement heating, and three stations per lane. Proprietary mass flow control and calibrated process jetting features use weight-control and automatic closed-loop calibration. Software provides closed-loop process control for dispensing and parts-handling operations. Sensors identify the board or substrate in the pre-dispense station and automatically load the associated dispensing program. Asymtek, Carlsbad, CA, www.asymtek.com.

Reworkable Underfill

Click here to enlarge image

NF260 lead-free, reworkable, air-reflowable, no-flow underfill offers advances in lead-free compatibility, reworkability, cleanability, and reflow-atmosphere. Defects are said to be reduced when compared to non-underfilled applications. Thermal cycling at >2,500 cycles with no failures and thermal shock testing prove durability. Drop tests demonstrate an increased reliability gain, which includes an edge-weighted board to force difficult impact orientation. NF260 exceeds endurance expectations, with no failures in > 450 tests. Indium Corp. of America, Clinton, NY, www.indium.com.

ENVIRONMENTALLY FRIENDLY MATERIALS

Aqueous Cleaner

Micronox MX2188 low-chemical oxygen-demand (COD) aqueous precision cleaner is intended for use at low concentrations while cleaning tight-pitch and low-standoff devices. The cleaner also has low, 102 g/L volatile organic compounds (VOCs) at 100% dilution, and is non-flammable, non-corrosive, recyclable, and multi-metal-safe. MX2188 features long bath life and optimum performance from 120 to 160°F. It is part-marking-safe and compatible on all tested electronics industry labels (polyimide). Kyzen Corp., Nashville, TN, www.kyzen.com.

FLIP CHIP ATTACH EQUIPMENT & MATERIALS

Platform-based Pick-and-Place

Click here to enlarge image

AdVantis XS combines semiconductor and standard surface mount assembly in one machine with proprietary VRM linear motors for accuracy and repeatability at ±9 µm at ±3 sigma. The linear-motor-driven, Class 1000 cleanroom-compatible AdVantis XS includes high magnification cameras, flip chip algorithms, low force capability, heated spindles, fluxing, dispensing, and various feeder types. Universal Instruments Corp., Binghamton, NY, www.uic.com.

Flux Materials

TF Series fluxes suit ball- and chip-attach applications, and are compatible with a range of underfills. Available in high-speed printable, standard printing, and dispense formulations in no-clean, solvent-clean, and water-soluble versions, each mixes with lead-free processes. The no-clean version features a low residue level and is based on a synthetic oligamer and rheology modifier. The water-soluble version is formulated with nonionic surfactants, tackifying agents, and a blend of halide- and non-halide-containing activators. Each TF Series flux facilitates cleaning in low-standoff applications for high-reliability processes. Heraeus Circuit Materials Division, West Conshohocken, PA, www.4cmd.com.

HANDLING EQUIPMENT/FIXTURES

Advanced Placement

The CX-1 places mixed-technology applications on a standard SMT placement machine base with accurate glass linear encoders. CX-1 is compatible with existing feeders and line-control software, and operates in both high and standard accuracy modes. HMS II measures board surface height and flatness, placement flatness, and placement force control. Four standard placement heads are capable of laser/vision centering with a 27-mm field of view (FOV) high-resolution camera, independent X and theta motors, and board handling up to 330 × 250 mm. Juki Automation Systems, Morrisville, NC, www.jas-smt.com.

Die Sorter

Click here to enlarge image

AutoPlacer is a flexible automated die sorting system for picking and transferring die from 300-mm wafers to die carriers. It enables die selection by vision processor, wafer map, or ink dots. It supports a range of die sizes using common pick-up tip styles, including conventional rubber pick-up tips and pyramid die collets. For die sizes over 1500 µm, a non-surface contact (NSC) die pick-up system allows die with fragile top surfaces to be picked without any top-side contact. Royce Instruments Inc., Napa, CA, www.royceinstruments.com.

Wafer Processing

Click here to enlarge image

The EVG850 series is designed for fully automated cassette-to-cassette transport of device wafers, carrier wafers, and bonded wafer stacks. Its modular design enables integration of different process modules. Temporary bonding onto rigid carriers enables further processing of thin wafers by using standard equipment and processes, while temporary bonding and de-bonding enables full area support of thin wafers. An included EVG820 lamination system with punching unit enables tape lamination. EV Group, St. Florian, Austria, www.evgroup.com.

INSPECTION/IMAGING EQUIPMENT

Bump Inspection

Click here to enlarge image

The Interferometric Bump Inspection System (IBIS) performs production-volume solder bump inspection on chip carriers. It inspects coined and non-coined solder bumps on chip carrier die-attach regions, by scanning at 3,000 dph for both 2- and 3-D inspection with critical dimension metrology, and reports on solder bump height, volume, circularity, and coplanarity. IBIS uses digital signal processing technology coupled with true white light interferometry to gain digital images of the device under inspection. Inspection data files characterize samples and include defect maps. Lloyd Doyle Ltd., Surrey, England, www.lloyd-doyle.com.

Wafer Inspection

Click here to enlarge image

WS-2800 locates and classifies wafer defects throughout post-fab processes for both standard and flip chip wafers up to 200 mm. Inspection capabilities include comprehensive probe mark inspection, complete bump defect detection for flip chip applications, and 3-D bump metrology at product speeds. An AutoTeach module helps to keep up with introduction of new wafer types, as well as the need to generate recipes for each. RVSI Inspection LLC, Hauppauge, NY, www.rvsi.com.

Submicron Inspection

The Revolution incorporates Nanotech submicron technology for defect detection and feature recognition in the nanometer range. A 75º viewing angle and 15º to the plane of the board enables magnification up to 6000× at all angles over the 410-mm2 manipulator scan area. A computed tomography (CT) function requires installation of a sixth axis to ensure the sample is X-rayed over 360º. A reconstruction engine builds a 3-D data cloud, sliced in any direction, measured, and animated in a virtual workspace. X-Tek Systems Ltd., Hertfordshire, England, www.xtekxray.com.

Digital X-ray Inspection

The XiDAT XD7600NT digital X-ray inspection system uses a sealed, transmissive, filament-free, submicron X-ray tube for feature recognition to 250 nm, acquiring images with a resolution of 1,300 × 1,000 pixels, over 65,000 gray-scale levels, and oblique angle views up to 70º in any position 360º around any point of its entire 16 × 18-in inspection area. It provides 16-bit gray-scale imaging and acquires 1.3 megapixels in real time at high resolution as standard. An automatic step-and-repeat function is also available for multiple board inspection. Dage Precision Industries, Fremont, CA, www.dageinc.com.

CT Capability Inspection

Click here to enlarge image

The FEINFOCUS COUGAR with CT capability creates real-time images of complex components in 2- and 3-D inspection modes within the same system for quality assessment and process improvement. Operators can switch between inspection modalities without moving the part from system to system. Integrated real-time capability allows operators to verify component quality without changing from a 2- to 3-D system, enhancing inspection process speed and allowing manufacturing to proceed with minimal interference. COMET North America Inc., Stamford, CT, www.cometna.com.

2-D Inspection

Click here to enlarge image

The 2-D Macro CD Metrology Package on the NSX Series measures straight or curved CD lines in real-time during advanced macro inspection. The tool is said to capture line measurements and detect issues in RDL processes. It also inspects vias in real-time as part of standard 2-D inspection. Engineers can experiment with the 2-D Macro CD Metrology function on the NSX Series to determine whether it can capture useful line information in process. Rudolph Technologies, Bloomington, MN, www.rudolphtech.com.

NOVEL PACKAGE DESIGN

Digital Memory Card

The SD-USB secure digital memory card is interchangeable with SD card-enabled devices. A packaged module assembled into a card housing integrates 3-D, package-on-package (PoP), and system-in-package (SiP) technology to meet the functional needs of the application. The module comprises pre-packaged SMT components, up to four stacked die, a custom-designed LED, stepped mold chase, chamfered substrate edge, chamfered in-mold design, gold-plated lead fingers, and a curvilinear outline requiring water-jet singulation. STATS ChipPAC, Boise, ID, www.statschippac.com.

PACKAGE DESIGN SOFTWARE & EQUIPMENT

Design Rules Database

The Design Rules Database consolidates manufacturing, pricing, and design data to determine the lowest-cost supplier that can manufacture a package. It enables a package designer to determine which elements should be used for a best price-to-manufacturability ratio at any time within the design cycle. The output lists suppliers and their capability of manufacturing the design, and their costs. Values are output into a high-, advanced-,and low-volume categorized manufacturability table to see where costs can be minimized and designs made more feasible. Amkor Technology, Chandler, AZ, www.amkor.com.

Wire-bond Verifier

The 3-D Wirebond Checker provides 3-D spacing checks for all wire-bond manufacturing constraints, including capillary interference, Z-axis wire spacing, and design wire profiles/tiers. It considers manufacturing values such as capillary cross section, wire profile, die thickness, spacer dimensions, die attach dimensions, and bonding sequence in wire-bond machine methodology. It verifies and improves designs at the design stage by evaluating manufacturability to achieve better yields, and allows for faster turn times on bonding reviews. Amkor Technology, Chandler, AZ, www.amkor.com.

QUALITY ASSURANCE/MANAGEMENT TOOLS

Setup Control System

Click here to enlarge image

The Setup Control System (SCS) feeder barcode verification software package tracks component usage and stock, and identifies boards with defective components. The Barcode Parts Verification module confirms whether the correct component has been placed in the correct location when a reel or feeder is replaced, while the Inventory Management module automatically adds received reels to the inventory database. Optional Off-line Machine Setup and Traceability modules are available. Juki Automation Systems, Morrisville, NC, www.jas-smt.com.

Confocal Microscope

Click here to enlarge image

The LEXT OLS-3000IR is a near-IR laser-based confocal microscope for non-destructive interior observation of silicon wafers, ICs, MEMS, and other devices, providing 0.55-µm line and space pattern resolution and a Z-accuracy measurement of 3 s = 0.10 + 0.002 L. Samples can be placed directly on the microscope stage for both 3-D observation and measurement in real time. Magnification from 120 to 12,960× measures and observes wafer thickness on SOI wafers, Z measurement of gap, AuAl analysis, pattern defects, delaminations, and alignment offsets. Olympus Micro-Imaging, Orangeburg, NY, www.olympusmicroimaging.com. (also submitted for Testing Equipment & Services)

REFLOW EQUIPMENT

Full-convection Reflow Oven

The RO300FC is a full-convection oven with a vertical, high-air volume, hot-air stream that is said to provide equal heating rates in components and substrates, leading to minimum Delta-T values (±2°C). Both standard and lead-free pastes can be used. Integrated RO-CONTROL software increases process simulation and control. Temperature of the pre-heat and peak zones, and conveyor speed, are programmable. The oven can be used for prototypes, small batches, and production runs up to 700 substrates in an eight-hour shift. ESSEMTEC USA, Glassboro, NJ, www.essemtec.com.

SPECIALIZED ADVANCED PACKAGING EQUIPMENT & MATERIALS

Gold Bonding Wire

RADIX 3N gold bonding wire  is said to provide intermetallic stability on wire-bonding applications such as low-k, BOAC ultra-fine pitch, and other applications, and reliability on a wide range of aluminum-based bond pad compositions and thicknesses. It is available in an assortment of strength and stiffness options, as well as a range of diameters from 15 to 75 µm, including large wire for high-power devices. Low-hardness free air ball (FAB) enables bonding on sensitive bond structures. Kulicke & Soffa Industries, Fort Washington, PA, www.kns.com.

Mass Imaging

SinguLign enables high-accuracy mass imaging of solder paste, solder spheres, flux, and adhesive onto singulated substrates or components directly from the carrier. Through the use of an accurate printing platform, specialized tooling, a carrier, and a miniaturized print or ball placement head, repeatable and precise deposits can be individually applied to parts. The tooling and parts handling mechanisms allow for print support and enable high-speed processing times. SinguLign individually aligns and images multiple substrates and components at ultra-fine pitch. DEK International GmbH, Zurich, Switzerland, www.dek.com.

Capillary Bonding

Click here to enlarge image

The ARCUS capillary bonding tool performs loop formation capabilities in stacked die, quad-tier, and other complex, tight-tolerance devices, and is said to minimize wire-bonding defects, such as kinks, sag, sweep, and leaning. The ARCUS looping process is engineered to control wire loop height and shape, reduce electrical issue fallouts, and enable a stable and accurate looping output. It can be used on various wire bonders for demanding 3-D and multi-tier packaging applications. Kulicke & Soffa Industries, Fort Washington, PA, www.kns.com.

SURFACE TREATMENT EQUIPMENT & MATERIALS

Stencil and Misprint Cleaner

Simple Green cleaner removes rosin, water-soluble, no-clean, leaded, and lead-free solder pastes from stencils, screens, and misprints. It is designed for use in immersion stencil cleaning systems such as ultrasonic stencil cleaners, and is also available in a trigger spray for manual stencil cleaning applications.  The product is composed of low-toxicity, biodegradable compounds, contains no solvents and is free of Clean Air Act Hazardous Air Pollutants (HAPs). Aqueous Technologies Corp., Rancho Cucamonga, CA, www.aqueoustech.com.

TESTING EQUIPMENT & SERVICES

Single-site Socket

Click here to enlarge image

The Single Site iSocket (SSiS) monitors technology based on a control loop that senses and reacts to the device’s case temperature, to control and ramp device temperatures during various testing regiments. The user can program the desired temperature to individual SSiS units through the proprietary iSocket Host software, which interfaces with iSocket-enabled hardware. iSocket Technology can now be applied to low-volume manufacturing runs, reliability testing, and laboratory testing applications. UMD Advanced Test Technologies, Phoenix, AZ, www.umdtech.com. (also submitted for Thermal Management Technology)

Wall Socket

Click here to enlarge image

The XACT socket series has built-in compliance to accept devices with a tolerance band exceeding ±0.2 mm. Its design uses alignment walls which adjust to each individual device, to reportedly eliminate up to 50% of the size and positional tolerance from alignment pocket stack-up. Regardless of whether devices are produced at the high or low side of the tolerance, reliable and repeatable test throughput is achievable. Synergetix, Kansas City, KS, www.synergetix.com.

Bond Tester

Click here to enlarge image

The 4000HS high-speed bond tester is said to detect brittle fracture failures in electronic products using lead-free BGA devices. Patented jaw technology and quick-change shear and tensile (cold bump pull) fixtures allow for brittle fracture joint failure analysis, impact testing applications, lead-free solder ball joint evaluation, or shear testing of multiple bonds simultaneously. 4000HS is equipped with programmable test parameters. Dage Precision Industries, Fremont, CA, www.dageinc.com.

THERMAL MANAGEMENT TECHNOLOGY

Phase Change Material

PCM45F SP phase change material (PCM) uses a polymer system to address pump-out, which reportedly allows the material to pass 3000 temperature cycles. A specific gravity of 2.0 grams/cm3, makes PCM45F SP 25% lighter than silicon-based greases, and allows for an increase in applications per kilogram. Due to a lower viscosity than silicon-based grease, PCM45F SP is designed for immediate screen or stencil printing. PCM45F SP has a thermal impedance of .07 °C-cm2/watt and is said to yield thin bond lines and dry rapidly after printing. Honeywell Electronic Materials, Tempe, AZ, www.honeywell.com.

WAFER-LEVEL PACKAGING EQUIPMENT & MATERIALS

Automatic Wafer Bumping

The AWB 300 wafer bumper system handles 200- and 300-mm cassettes with either a single or dual FOUP option. A robotic arm with pre-aligner loads and unloads wafers onto the automated print stage (EFEM). Using patented vibration squeegee technology, the AWB 300 accomplishes ultra-fine-pitch printing down to 38 µm with no missed apertures on wafers with excess of 25,000 per print. An in-print-area (IPA) wafer wiping squeegee system operates separately from two main-print squeegees in the same print area. A tri-blade vibrating wiper system performs final residual cleaning wipes. Milara Inc., Medway, MA, www.milarasmt.com.

SAC Solder

SOLDERON BP TSC4000 technology uses a low-foaming, organic sulfonate electroplating process for the deposition of ternary, tin-silver-copper (SAC) solder from a single plating solution. The stable, acidic bath is said to be compatible with wafer-bumping photoresists. The process can be used for in-via and mushroom deposition and is horizontally and vertically capable. No specialized equipment is required. Rohm & Haas Electronic Materials LLC, Freeport, NY, www.rohmhaas.com.

Electrodeposition System

The Stratus 200 is a configurable, fully automated electrodeposition system designed for thick metal deposition for advanced packaging applications. The Stratus architecture permits a variety of process configurations with up to 22 process modules (44 wafer positions). The system checks wafer seal integrity upon wafer load. A patented contact ring seal provides a leak-tight, uniform, self-checking seal of the wafer in the wafer holder. ShearPlate technology creates fluid agitation less than 2 mm from the surface of the wafer. NEXX Systems Inc., Billerica, MA, www.nexxystems.com.

Wafer Processing

ModuTrack is a front-end low-viscosity processing system configured for nonstandard processing conditions for wafers ranging from 75- to 300-mm diameters. Modularity allows end-users to select features, module configurations, and peripheral equipment. It features individual, software-programmable dispense arms, size-specific dispense nozzles, automated bowl cleaning, programmable 2-chemistry topside and backside EBR dispense, localized chemical delivery from sensor monitored slide-out storage drawers integrated within each spin module, and facility integration and control from the incorporated media module. Electronics “in-the-door” design provides access to the module control boards and supporting electronics. STEAG HamaTech, Austin, TX, www.steag-hamatech.com.

Sputter Deposition

Click here to enlarge image

The Nimbus XP sputter tool is designed for metal deposition in wafer-level packaging . A deposition RF bias is said to control film stress and improve step coverage. Bernoulli end effector/ESC wafer trays handle wafers down to 100-µm thick, and proprietary high-productivity magnetrons allow for metal deposition. It can run wafer sizes from 50 to 300 mm with 200-mm wafer uptime at over 60 wph at 90%. NEXX Systems Inc., Billerica, MA, www.nexxystems.com.

Wafer-bumping Line

SUSS MicroTec’s C4NP Wafer Bumping Line incorporates IBM’s Controlled Collapse Chip Connection - NewProcess (C4NP) technology and consists of three pieces of equipment: Mold Fill Tool (MFT), Mold Inspect Tool (MIT) and Solder Transfer Tool (STT). These so-called bridge-tools are useable for 300 mm and smaller wafers. C4NP forms bumps using an injection-molding process to fill pre-fabricated and reusable glass templates with molten solder. The bumps are transferred onto the entire wafer in a single process. SUSS MicroTec, Inc., Waterbury Center, VT, www.suss.com.

WIRE BONDING EQUIPMENT

Wire-bond Capability

Click here to enlarge image

The Supra M AOI system incorporates wire-bond inspection with solder joint inspection and measurement capabilities to detect defects. The system includes one large-format color digital camera, specialized illumination, and a high-precision linear X/Y stage in a 960 × 965-mm footprint. Standard pixel resolution is adjustable from 11 to 15 µm/pixel. CAD-driven, library-based programming allows for precise measurement of component placement and solder-joint analysis. Machine Vision Products (MVP), Carlsbad, CA, www.visionpro.com.

Wire Bonder

Click here to enlarge image

The Maxµm Ultra wire bonder offers bond placement accuracy of +2.5 µm accuracy at 3 sigma, handling fine-pitch down to 30 µm. It includes high-resolution servo controls, complex motion algorithms, and advanced techniques for profiling ultrasonic energy during the bonding process. Other features include a bond force control system with piezo sensor technology, an enhanced wire feed/tensioning system, post-bond inspection, and in-situ small-ball detection. Kulicke & Soffa Industries, Fort Washington, PA, www.kns.com.

Wedge Bonder

Click here to enlarge image

The BONDJET BJ820 handles all fine-pitch wire bonding applications in one platform at up to 6 wires/second with 1 μm at 3 sigma repeatability and an interpolated 20-nm encoder resolution. A small piezo bond head design enables rotation speed and acceleration in all directions. The work area can be split into smaller stations. Bonded wires can be compared to user-defined target profiles. Its software also supports control by host computer, product traceability by barcode, and external statistical analysis. Hesse & Knipps GmbH Semiconductor Equipment, San Jose, CA, www.hesse-knipps.com.