Issue



PRODUCT PREVIEW


05/01/2007







SEMICON West 2007

Fine-pitch BGA Socket
A drop-in replacement for spring-probe-style sockets, this high-performance fine-pitch BGA socket for testing 0.4- and 0.5-mm-pitch high-frequency devices incorporates advanced manufacturing and contact technologies for low inductance and low insertion loss beyond 15 GHz. The contact designs provide improved mechanical and electrical performance, with oxide penetrating actions for stable contact resistance with various solders. Gryphics, Inc., a wholly owned subsidiary of Cascade Microtech, Inc., Plymouth, MN, www.gryphics.com. Booth 7367.

Ball-attach Flux

Click here to enlarge image

WS3600 ball-attach flux for automated pin-transfer ball-attach equipment offers sufficient viscosity to hold any size sphere in place during the placement process and consistently deposits the needed amount of flux. An H1 activator system is said to enhance wetting onto disparate surfaces without corroding exposed under-bump metallizations (UBMs). A red color helps automated flux-level detection and visual inspection during placement. Post-reflow residue is warm-water soluble. Indium Corporation, Clinton, NY, www.indium.com. Booth 7358.

High-performance Spring Probe

A proprietary manufacturing process for Gemini Kelvin high-performance contact spring probes allows precise features; repeatable components; and fabrication from harder, wear-resistant materials for testing small contact pitches on higher-performance devices. Kelvin contacting uses a separate force and sense path to the DUT, eliminating confusion from force-path ­resistance. The product’s architecture allows device-side tips to be close together - 0.15 mm - and board-side tips farther apart - 0.45 mm. With a test height of 3.17 mm, the probes have a compliance of 0.43 mm and 25-30 g contact force. Everett Charles Technologies, Pomona, CA, www.ectinfo.com. Booth 7031.

Die-attach Paste

Click here to enlarge image

Developed for small die on copper leadframes, Hysol QMI708 high-performance die-attach paste bonds 2.5- × 2.5-mm and smaller die in QFN and SOIC packages using copper-finished leadframes. The highly conductive paste dispenses without tailing and offers low bond-joint resistance, fast set-up, and consistent bondlines. It suits oven or snap cure. The electronics group of Henkel, Irvine, CA, www.henkel.com. Booth 8511.

Click here to enlarge image

Wafer-level Plasma Processing Platform
The FlexTRAK-WR system is designed for advanced plasma processing in WLP and wafer-bump applications. The 200 system processes wafers typically up to 200 mm in open cassettes or SMIF pods. The 300 suits up to 300-mm wafers in FOUP pods. The systems incorporate proprietary, compact plasma chambers and an integrated wafer handler. March Plasma Systems, Concord, CA, www.marchplasma.com. Booth 8311.

High-speed Test System

The PLT1000 is designed to test low- to medium-complexity wafers and packaged ICs at production-line rates of 1,000 tests per second. The system is a PC-size bench top model programmed through a spreadsheet with test rows, test-pin columns, and programmable test conditions. Applications include high-speed analog, digital, and optical device testing with digital I/O, picoammeter, time-interval analyzer, quad clock, or other test boards. A prober/handler interface board sits in the test head. ProductionLine Testers, Pleasanton, CA, www.productionlinetesters.com. Booth 7862.

Cold Bump Pull to JEDEC Standards

Click here to enlarge image

Enhanced cold bump pull (CBP) testing on the 4000HS high-speed bond tester offers a symmetrical load and nearly pure tensile pull testing with less deformation than traditional shear. It enhances defect detection with lead-free materials, due to sensitivity to brittle-fracture failures, and can evaluate different alloys and pad finishes. Transition speed is considered well correlated with board-level drop-test results. The second-generation CBP capability assures compliance with new JEDEC standards. Dage Precision Industries, Fremont, CA, www.dageinc.com. Booth 7211.

Heavy Wire Bonder

The BONDJET BJ920 heavy wire bonder with integrated pull and shear test targets high speed and accuracy. Through integrated bond-head sensors, it performs non-destructive tests. The machine features a 15" × 19.7" bonding area and incorporates proprietary process-integrated quality control (PIQC), acquiring and assessing feedback values on bond quality. Optimum touchdown detection equals 300 ms and high-precision bond-force control generates ±1-cN accuracy. An active cutter system is decoupled from the Z-axis, requires no pusher, and enlarges the setting window for cutting. An E-box feature allows micron-precise tool settings. Hesse & Knipps GmbH Semiconductor Equipment, Paderborn, Germany, www.hesse-knipps.com. Booth 7063.

DRIE Process Capabilities

AMS 3200 and AMS 4200 multi-chamber and multi-process platforms provide DRIE process capabilities for etching silicon and glass-like materials and PECVD solutions for isolation layers. They suit pilot lines through mass production for MEMS and 3D packages. AMS 3200 cluster tool platform incorporates a single-vacuum cassette station, while AMS 4200 volume production cluster platforms feature a dual-vacuum cassette and a built-in buffer station. Both offer automatic cassette mapping and pre-alignment. Mechanical or electrostatic wafers chucks allow a range of wafer design and applications. Alcatel Micro Machining Systems (AMMS), a subsidiary of Alcatel Vacuum Technology France, Annecy, France, www.adixen.com. Booth 7652.

Precision Carrier Tapes

A line of 8-88-mm carrier tapes from proprietary-blended plastic sheeting offers conductive and non-conductive options, and precision pockets for bare die, flip chip, and microBGA protection. Pockets have flat bottoms, sharp corners, and flat side walls with > 3° draft angle to optimize device support and pick-and-place use. The 8- and 12-mm tapes provide uniform static and physical protection with conductive, carbon-filled polycarbonate construction, which is heat and tear resistant. Matte surface finish facilitates automated inspection. AccuTech Plastics, Eau Claire, WI, www.atpamerica.com. Booth 8137.

Dry Resist Film Lamination

The ATM-1100EF laminates dry resist film to wafers in MEMS and flip chip applications. Expanding upon the ATM-1100, it adds an automated wafer-protective tape-laminating machine, increasing film-thickness and temperature controls, regardless of film consistency. The EF allows 15-200-μm thicknesses. Temperature controls are built into the roller, blades, and table. No chemical waste is associated with use. Options include a no-tension lamination system, an ESD-handling system (wafer with holes), a knife-cleaning system, and a touch-panel screen. GTI Technologies, Shelton, CT, www.gti-usa.com. Manufactured by Takatori Corporation of Japan. Booth 5765.

Materials Tracking

Click here to enlarge image

M3i materials system manages and delivers advanced materials for next-generation electronics applications, controlling conditions from temperature to metering for unlimited materials volumes. The automatic system enables programmable ratio-mix control, automated materials feeding into a ProFlow enclosed-head deposition system, and high-accuracy mass imaging. Real-time operations with programmability and automation are said to improve throughput. DEK, Flemington, NJ, www.dek.com. Booth 8811.

Die-attach and Sort System

The DA/DS-750 automated die-attach and sort system handles 0.020" to 1.0" die with accuracy below 0.001". Input is accepted on wafer form or waffle trays. Output modules cover an X/Y table, magazine handler, tape & reel module, SMT conveyer, and other methods. Vision system and software aim to identify and locate various die types reliably. Map processing and conversion software are standard. Lexus Automation, Kowloon, Hong Kong, www.lexus-automation.com. Booth 7756.

Automated IR Inspection

Click here to enlarge image

IRIS2000 automated infrared (IR) inspection and review system uses IR light to see through silicon. The system’s throughput reaches 12,000 die-per-hour. Smart defect inspection (SDI) is based on dynamic reference images for wafer control independent of normal process variations. Measuring capacity includes defect sizes, fill and mold parameters, and process monitoring. Vistec Semiconductor Systems, Weilburg, Germany, www.vistec-semi.com. Booth 1930.

Conductive Paste

A conductive adhesive for bonding chips to substrates, Microbond Gecko replaces soldering operations for power applications. Environmentally safe for disposal and recycling, the paste is able to withstand extreme temperature fluctuations in automotive and other power electronics. It incorporates a proprietary treated-copper powder and is a drop-in, lead-free replacement for leaded solder pastes. Umicore AG & Co. KG, Hanau, Germany, www.umicore.com. Booth 8810.

QFN socket for 0.4-mm Pitch

The SG-MLF-7021 sockets for QFN12 are designed for a 2.5- × 2.5-mm package and operate at 10-GHz bandwidths with less than 1-dB insertion loss. The sockets are designed to dissipate several watts without extra heatsinking and handle up to 100 W with custom heatsinking. Contact resistance equals about 23 milliohms per pin. Its construction involves high-performance, low-inductance elastomer with a -35°C to +85°C temperature range. Ironwood Electronics, Burnsville, MN, www.ironwoodelectronics.com. Booth 7462.

Sockets for 0.4-mm Pitch

All CSP and microBGA test and burn-in sockets, sized <13 mm2, 14-27 mm2, <40 mm2, and <55 mm2, can be used with device pitches at 0.4 mm and higher. They also provide manual test of DSP, LGA, SRAM, DRAM, and flash devices. The sockets minimize signal loss with a 0.077" signal path. Solderless, pressure-mount compression spring probes with four-point probe crown ensure good scrub. Aries Electronics, Frenchtown, NJ, www.arieselec.com. Booth 7251.