Issue



Advanced Packaging Award Finalist Showcase


07/01/2008







3D Packaging

Electromechanical Coating Processes
eG ViaCoat enables the metallization of TSVs, until now a process that was holding back adoption of 3D packaging. Performance allows for Cu seed layers to be deposited in 13:1 aspect ratio TSVs with reportedly significant reductions in cost of ownership compared to dry vacuum processes. Alchimer S.A. Massey, France, www.alchimer.com.

Flip Chip Package-in-Package
Flip Chip Package-in-Package (fcPiP) is a 3D package stacking solution from STATS ChipPAC that integrates the baseband, memory, and analog functions of a mobile communication device into a single package. The fcPiP combines flip chip and wire bond interconnection in the same package to deliver increased speed, performance and miniaturization. STATS ChipPAC, Techpoint, Singapore, www.statschippac.com.

Cleaning Chemistres

Stencil Cleaner
AQUANOX A4241, from Kyzen Corp. is an innovative product that is said to efficiently complete two processes. It was developed to clean populated circuit boards and stencils. This product has a revolutionary inhibitor package that will not damage the stencils and reportedly cleans even the toughest lead-free. Kyzen Corp. Nashville, TN, www.kyzen.com.

Cleaning Equipment

Batch Defluxing System
The Trident, from Aqueous Technologies, is capable of removing all flux residues including rosin, no-clean, and water soluble. Equipped with a chemical injection system that automatically adds a programmable volume of defluxing chemical to the wash water. It also dries and tests all in one unit. Additionally, the Trident is equipped with a closed-loop wash solution recycling system. Fewer chemicals are needed and nothing goes down the drain into the environment. Aqueous Technologies, Rancho Cucamonga CA, www.aqueoustech.com

Die-attach Equipment and Materials

Automatic Multichip Module Bonder
The latest generation large-area chip bonder from ASM Pacific Technologies Ltd., the MCM12, is said to address the growing market for MCM, SIP, and hybrid applications. It is a fully automatic, multiple die SMD and flip chip bonding system with up to 300-mm wafer handling capability. Direct die, flip chip, stack die, and SMD bonding can all be integrated into this single platform tool. It features a fully programmable dispensing system, and automatic pick-up and ejector tool changing systems. ASM Pacific Technologies Ltd. Hong Kong, www.asmpacific.com.

Wafer Backside Coating
Wafer Backside Coating (WBC) from Henkel is a specially designed adhesive applied to the back of a wafer. Conductive and non-conductive materials have been developed for smaller die sizes to enable package types such as COL, SOs, and packages with tight design tolerances that require controlled flow. The WBC process eliminates the need for the dispense step, saving time for the packaging assembly house. It also opens up the formulation window and maximum silver loading that can be achieved. Henkel Corp, Irvine, CA, www.ablestik.com.

Dispense/Encapsulation/Molding/Underfill Equipment and Materials

Encapsulation Tool
The new phase in encapsulation requires a machine that handle both liquid and solid encapsulant for the packaging of advance packages like high brightness LED and high I/O IC. IDEALcompress performs this task by combining compression and dispensing technology. The tool is able to encapsulate large format substrates, maximize asset usage, and achieves eco-friendly manufacturing due to the elimination of material waste. ASM Pacific Technologies Ltd. Hong Kong, www.asmpacific.com.

Epoxy Flux Material
Henkel’s breakthrough epoxy flux material, Hysol FF6000 combines flux functionality and underfill protection into a single material. A reflow curable material, Hysol FF6000 has been formulated to provide fluxing action for lead-free solder joint formation and, when cured, delivers underfill-like protection against mechanical stress. This in-line, one-step approach effectively eliminates the need for dispensing equipment and the time required for underfill application and cure. Henkel Corp., Irvine, CA, www.us.henkel.com.

Environmentally Friendly Materials

Batch Defluxing System
The Trident, from Aqueous Technologies, is capable of removing all flux residues including rosin, no-clean, and water soluble. Equipped with a chemical injection system that automatically adds a programmable volume of defluxing chemical to the wash water., it also dries and tests all in one unit. Additionally, the Trident is equipped with a closed-loop wash solution recycling system. Fewer chemicals are needed and nothing goes down the drain into the environment. Aqueous Technologies, Rancho Cucamonga CA, www.aqueoustech.com.

Solder Reclamation Service
FCT Assembly offers a unique and flexible user-friendly solder reclamation program to remove the burden from the customer. This environmentally friendly turnkey program complies with all federal, state, and provincial regulations. The service includes transportation documentation required for facilitating pickup, shipping, and processing of the reclaimable material, providing a cost efficient solution. FCT Assembly Greeley, CO, www.fctassembly.com.

Flip Chip Equipment and Materials

Multi Flip Chip Die Bonder
The Datacon 8800 CHAMEO dual-head multi-flip chip die bonder is designed to enable high volume, throughput, and precision performance for the completion of a multi-chip product in one production cycle. Two independent bond heads, flip units, slide fluxers and upward-looking cameras work in parallel and independently of each other to increase throughput, along with the strategy of short paths for architecture and flow control.

Other features include consistent, high-accuracy operation, wafer-handling capabilities, image-recognition system, and post-bond inspection. Datacon Technology GmbH, Radfeld, Austria, www.datacon.at.

Stencil Cleaner
AQUANOX A4241, from Kyzen Corp. is an innovative product that is said to efficiently complete two processes. It was developed to clean populated circuit boards and stencils. This product has a revolutionary inhibitor package that will not damage the stencils and reportedly cleans even the toughest lead-free. Kyzen Corp. Nashville, TN, www.kyzen.com.

Handling Equipment and Fixtures

Laser Cut Stencil
Slic Stencils incorporate a base material with a denser grain structure for fewer voids, smoother aperture walls, and the same tensile and yield strength as stainless steel. Results identified in an objective print study show significant improvements to the aperture wall smoothness which translates to enhanced printed deposits. The Slic Stencil has the mechanical and thermal properties of industry standard stainless steel, yet offers superior paste release due to the makeup of this proprietary material. FCT Assembly Greeley, CO, www.fctassembly.com.

Inspection Equipment and Services

Automated Optical Inspection
The MV-7L from Mirtec features advanced four (4) mega pixel digital color camera technology in an in-line AOI system. This technology yields absolute detection of lifted leads on gull wing devices and true coplanarity testing of BGA and CSP devices. An optional Intelli-Scan Laser System provides the ability to measure the Z-height of any given region of interest. A comprehensive package type library provides drag-and-drop component programming. Automatic teaching tool (ATT) software provides automatic teaching of component locations using CAD centroid data. Mirtec Kyunggi-Do, Korea, www.mirtec.com.

Macro Defect Inspection System
The NSX Inspection System from Rudolph Technologies, Inc. is a high-throughput, repeatable advanced macro defect inspection system that is able to detect defects as small as 0.5 µm. It is used primarily for applications in back-end semiconductor manufacturing processes which can have a major impact on OQA and yield. Thin-wafer handling, high-speed color image capture, and supermax illumination are three options for the NSX System that give customers the flexibility required to address a broad range of products and applications. Rudolph Technologies, Inc. Bloomington, MN, www.rudolphtech.com.

Novel Package Design

Wafer-level Camera Technology
OptiML WLC from Tessera Technologies enables a complete camera module in an ultra-small, low-profile form factor. Thousands of lenses can be built at wafer level simultaneously, providing more lenses per wafer to reduce manufacturing and development costs. The materials used for the optical elements enable assembly of the camera module to the phone board using typical IC manufacturing processes. The technology can scale from VGA to multi-mega pixel resolutions to help OEMs provide cost-effective product differentiation. Tessera Technologies, Inc. San Jose, CA, www.tessera.com.

Image Sensor Packaging Technology
Tessera’s SHELLCASE MVP wafer-level chip-scale package technology n is the company’s latest generation of its image sensor packaging technology. Using through silicon via technology (TSV), SHELLCASE MVP addresses market demand for more advanced technologies in image sensor packaging, including a thinner package, higher yield, enhanced reliability and lower cost. Tessera Technologies, Inc. San Jose, CA, www.tessera.com.

Package Design Software and Equipment

MEMS Simulation Environment
ARCHITECT with Scene3D from Coventor creates a rapid virtual prototyping environment for challenging MEMS and MEMS-enabled systems. This schematic-based MEMS design and simulation environment is used to determine the behavior of a MEMS device as a standalone component and in a surrounding system. At simulation speeds far beyond the capabilities of Finite Element Analysis, engineers can reportedly achieve more accurate “first-pass” designs, in less time. Coventor, Inc. Cary NC, www.coventor.com.

Reflow Equipment

Pure Convection Oven
The RO400FC is a pure convection oven allowing fast and homogenous heating. The implemented convection technology uses a vertical airflow for an efficient heating transfer making soldering of lead-free solder possible. The RO400FC provides full convection heating, along with an adjustable motor controlled chain conveyor. Measuring the zone temperatures in the convection airflow allows for reproducible results. The system features a SMEMA interface, and microprocessor control with predefined profiles. ESSEMTEC, Glassboro, NJ www.essemtec.com

Solder Reflow Oven
With 8-zone air or nitrogen models, 350??C maximum temperature, flexible platform configuration, low nitrogen and power consumption, and a comprehensive menu of options, the Pyramax 100 from BTU provides optimized lead-free processing. BTU’s innovative patented flux management system traps flux residue from the process chamber, preventing it from settling within the oven. BTU International, North Billerica, MA, www.btu.com.

Semiconductor Assembly and Test Services

MEMS Production Line
Freescale set out to establish an advanced MEMS 200-mm (8-inch) production line in Austin, Texas to address the growing sensors market demand. This new production line, Oak Hill Fab, was designed to complement the existing 150-mm MEMS capacity in Sendai, Japan. Through a variety of innovative fab processes, Freescale shortened the manufacturing process while leveraging the same equipment and saving money. By using existing tools, the upfront cost to implement MEMS was minimized and the number of process steps was reduced by approximately 30%. Freescale Semiconductor Austin TX, www.freescale.com.

Manufacturing Test Services
NBS Design delivers a complete contract manufacturing solution to meet complex product requirements across semiconductor, military, communications, industrial and medical markets. NBS covers the four major technical areas for lead-free implementation. The supply chain includes materials, declarations, and labeling to meet IPC standards and the state of California regulations. Manufacturing test engineers are experienced operating Agilent test systems. NBS reportedly uses the latest assembly technologies and processes, to set their services apart with a goal of zero defects. NBS Design Santa Clara CA. www.nbscorp.com.

Surface Treatment Equipment and Materials

Spray Coating System
The EVG150 NanoSpray is a fully automated high-topography spray coating system. The patent-pending spray coating technique, designed for coating very small and deep patterns, features a unique spray process based on a spray mist created by ultrasonic nozzles, significant improvements in refined dispense and targeted positioning of the spray stream, the ability to support wafers up to 300-mm in diameter, and homogenously coats features 300-µm deep and 100 µm in diameter. EV Group, St. Florian, Austria, www.evgroup.com.

Squeegee System
The Magna-Print system from Ovation consists of a patent-pending universal fit squeegee holder, adjustable paste deflectors and corresponding blades to accommodate any PCB or wafer size for printing solder bumps. The quick-change blade holder is equipped with an arrangement of magnets and other features that assist in the firm holding of the blades, thus eliminating the need for tools and loose hardware involved in blade change. Ovation Products, Bethlehem PA, www.grid-lok.com.

Testing Equipment Services

Multi-site Testing Instrument
The MultiWave instrument from Credence integrates the functionality of multiple instruments into one multi-channel arbitrary waveform generator and digitizer unit to provide a cost-effective mixed-signal solution for multi-site testing of highly integrated SiP and SoC devices. MultiWave’s four independent wide-range generator channels and four independent digitizer channels allow for concurrent, synchronized video and audio component testing, designed to generate AC or DC signals in a wide frequency range, from high precision audio to high speed video applications. Credence Systems Corp. Milpitas, CA. www.credence.com.

Spring Pin
The Gemini spring pin from Everett Charles Technologies features two complete, parallel signal paths, each with its own contact point and spring. Because both forks are biased to contact one another, no matter how the contact is twisted, there will always be at least one contact point on each side, ensuring that there will always be two parallel paths. Features that make this design unique include two wiping surfaces and two signal paths; highly scalable with reasonable NRE costs; extended spring life and higher forces than similarly-sized probes; and the capability of being arranged in-line down to 0.4 mm pitch and in arrays down to 0.5 mm. Everett Charles Technologies, Pomona, CA, www.ectinfo.com.

High Bandwidth Probe Contact
The PariProbe test contact from Paricon Technologies incorporates the capability of the spring pin contact tip with the electrical performance of the PariPoser contact. The marriage of these structures results in a cost-effective capability with performance. The contact length breaks the 1.5-mm barrier with overall length below 1 mm. It achieves measured performance of less than 1 dB loss at 40 GHz and more than 1 million test cycles. Other features include no wear on the test board, contact pitch capability to <0.4 mm, and engineerable mechanical compliance can provide up to 8 mils of compliance. Paricon Technologies, Fall River, MA, www.paricon-tech.com.

Thermal Management Technology

Thermal Profiler
ECD’s MEGAM.O.L.E. 20 thermal profiler is reportedly the only profiler with twenty channels, four NANO-connectors, built-in intelligence, and a “go/no-go” indicator. This 7.2-mm thin device includes MEGAM.O.L.E. MAP software features three workflow wizards, Target-10 profile validation, and over 180 custom data measures. Input/Output pods store engineer’s logic parameters, collecting over twenty-five profiles before downloading. ECD Milwauki, OR, www.ecd.com.

Thermal Copper Pillar Bump
Nextreme’s thin-film thermal bump technology reportedly improves electronic performance by embedding cooling, temperature control, and power generation capabilities deep inside electronic packages. A thin-film thermoelectric material is integrated into solder bumps used in flip chip packaging, enabling active thermal management right at the surface of the chip. Unlike conventional solder bumps that provide an electrical path and a mechanical structure, each thermal bump acts as a solid-state heat pump on a microscale level. Nextreme Thermal Solutions Durham, NC, www.nextreme.com.

Wafer Dicing/Thinning Equipment

Laser Dicing System
The IX-4100 ChromaDice from JP Sercel Associates is a high-throughput, high-speed Class 1 laser workstation developed for automated wafer singulation and other wafer processing tasks with the ability to handle up to 300mm wafers. It uses UV laser technology to scribe/singulate wafers, rather than mechanical saw cutting. It is a fast, low-stress method that minimizes chip breakage after cutting, for higher yields, with kerfs as narrow as 2.5 microns, the narrowest cut width in the industry, and minimal debris generation in a vibration-free process. JP Sercel Associates, Manchester, NH, www.jpsercel.com.

Wafer level Packaging Equipment and Materials

Stencil Technology
VectorGuard Platinum from DEK meets the challenges of ultra fine pitch printing. This technology was developed for manufacturers working with unprecedented aperture and web space dimensions. It suits advanced applications including ball grid arrays (BGAs), direct chip attach, flip chip and wafer-level packaging. Founded on an innovative MEMS-based fabrication process, VectorGuard Platinum’s high-level precision facilitates apertures of 20 µm on 50 µm pitch with uniform thickness. Platinum stencils deliver smooth sidewalls for highly efficient paste transfer ??? even when dealing with square apertures. DEK International, Weymouth UK, www.dek.com.

Wire Bonding Equipment and Materials

Wire Bonder
The Eagle Xtreme, from ASM, is engineered to meet emerging wire bonding needs to handle special applications such as stacked die with non-conductive epoxy and insulated wire, industry best capacitive based non-stick detection with Sub-pico sensitivity of 0.4 pF. Capabilities include 30 BPP with placement accuracy of 2 µm. Position contril feature called zPS for low-k chips, thin die less than 50 µm, over hang > 1.00 mm, and innovative looping trajectories to bond ultra-low loops 50 µm. ASM Pacific Technologies Ltd. Hong Kong, www.asmpacific.com.

Wire Bonder
The IConnPS High Performance Wire Bonder offers advanced features and process capabilities for wire bonding the latest stacked die, low-k, and multi-tiered packages. Offering +/- 2.0um accuracy for sub-35 µm ultra-fine-pitch requirements, the IConnPS features advanced looping processes, a programmable dual frequency transducer, automatic self-teaching Bond Integrity Test System, and Programmable Focus optics for complex stacked die packages. Kulicke & Soffa, Fort Washington, PA, www.kns.com.