Issue



Semicon West Product Preview


05/01/2008







Scalable Dispensing Platforms

The Spectrum S-920N Series of scalable dispensing platforms from Asymtek allows for system upgrade as user needs change. Rapid Response Heaters ramp quickly and deliver uniform heat across the entire part surface, while controlled process heat (CpH) can be added for increased automated heat control. The Spectrum S-920N’s patented non-contact jetting technology suits applications such as stacked-die underfill, chip scale package (CSP) underfill, and encapsulation of wire bonded packages. Asymtek, A Nordson Company Carlsbad, CA www.asymtek.com Booth #7857

Advanced Sputter Deposition System for Wafer Level Packaging

Click here to enlarge image

The Apollo incorporates many standard features of NEXX sputter systems, and also offers higher power operation, longer target lifetimes, faster cycle times, and a faster robot. The Apollo has process flexibility, with production solutions for under bump metallization (UBM), redistribution layer (RDL), backside metal, integrated passives, 3D packaging, and LED. NEXX Systems, Inc. Billerica, MA, www.nexxsystems.com # 5633

High-frequency Center Probe Test Socket

Aries Electronics’ high-frequency center probe test socket was developed for manual, high-speed testing of devices with pitches as low as 0.40 mm. The socket’s solderless, pressure-mount, compression spring probes reportedly allow for easy mounting and removal from the test board. Four-point crown ensures ”scrub“ on solder balls for reliable contact mating, and its raised tip probe works with LGAs, MLFs, and other socket types. With an overall size of 30.48 mm ?? 21.34 mm. ?? 11.18 mm, the socket provides the maximum allowable space for board components and connectors. Aries Electronics, Bristol, PA, www.arieslec.com #7251

WLP Photoresist Remover

EKC’s WLP Photoresist Removers are an integrated solution for area array packaging requirements, whether stencil printed, plated, pillared or C4 applied. EKC162 is said to remove and dissolve photoresist quicky at low temperatures without attacking polyimide or metal layers. It has been formulated to enhance the removal of resists cleanly and efficiently, with full dissolution, preventing redeposition, while minimizing damage to metal conductors, bumps and RDL dielectrics. DuPont, Danville, CA, www.dupont.com #1807

Advanced Thermal Processing Equipment

The Pyramax 75A furnace from BTU International provides 75“ of heated length in six zones with a 350??C maximum temperature, and is said to provide thermal uniformity through closed-loop convection control. The system features side-to-side recirculation, Windows-based WINCON oven control software, parts-identification software, a range of options for flux management, advanced conveyor solutions, and smart tracking SMEMA, optional barcode reader. BTU International, North Billerica, MA www.btu.com #7524

High-accuracy Die Bonder

Click here to enlarge image

The FINEPLACER Lambda precision bonder from FINETECH reportedly achieves +/-0.5 μm placement accuracy for a variety of bonding applications. This semi-automatic version provides automatic touchdown with force control, as well as temperature/environment controlled bonding after manual alignment of die and substrate. Key features include process reproducibility, patented optics to align large die (up to 40 mm) and substrate without reducing the field of view, and up to 10 programmable camera alignment positions. FINETECH, Berlin, Germany and Tempe AZ, www.finetechusa.com, #7838

Thin Film Analysis

HORIBA Jobin Yvon introduces the Auto SE, a thin-film analysis tool that reportedly allows characterization of single and multi-layer thin films in one push of a button. The Auto SE automatically adjusts the height of the sample, maps the entire wafer surface for uniformity check and displays the results. With a spot size as small as 25 μm ?? 60 μm and its patented MyAutoView color vision system, the Auto SE thin film metrology tool is suited for device characterization and QC analysis. HORIBA Jobin Yvon, Inc. Edison, NJ, www.autose.org #617

Aqueous Cleaner

Suitable for batch or in-line cleaning machines, MX2628 is designed to clean lead-free flux materials from advanced packages while providing mirrored solder finishes. MICRONOX MX2628 is environmentally friendly, has a long tank life and is safe for multiple pass applications. MX2628 is compatible with all materials commonly used in electronics assembly manufacturing and cleaning processes. Additionally, the cleaner is a biodegradable low VOC aqueous solution, and contains no CFCs or HAPs. Kyzen Corp. Nashville, TN www.kyzen.com #7514

Compression Encapsulation System

The IDEALcompress from ASM Pacific Technology features direct application of molding materials into mold cavities to eliminate waste associated with transfer molding. Three models address the processes of liquid epoxy molding (LEM), silicone liquid molding (SLM), and granular powder epoxy molding (GPM). For liquid molding applications, the machine accommodates two-part materials with an on-board servo-driven mixing system. All models feature a compact footprint and fast and low-cost package conversion. The system architecture shares components from ASM’s wire and die-bonder platforms. ASM Pacific Technologies, Singapore, www.asmpacific.com #7825

Advanced Placement System

Click here to enlarge image

The CX-1 from Juki Corp is capable of placing SiP, MCM, and other mixed-technology applications. Built on the base of a standard SMT machine, glass linear encoders and special software periodically checks and calibrates for ultra-high accuracy. Only three systems are used to accomplish the same production levels as traditional assembly lines. The high-accuracy mode features speeds of 1,300 (vision) and 1,600 (laser), and an accuracy of ??20 μm. The standard accuracy mode features a speed of 11,000 cph and an accuracy of ??40 μm. Juki Corporation, www.jas-smt.com #7360

Wire Bond Monitoring System

Hesse & Knipps’ process integrated quality control (PiQC) is a comprehensive multi-dimensional monitoring of the bond quality that allows for monitoring feedback of bond formation and allows conclusions of substrate surface conditions. A transducer-integrated sensor provides the signal relevant for the calculation of the quality index in real time. Processing of the signals is carried out by hardware and software with the appropriate link to a Hesse & Knipps digital ultrasonic generator. PIQC is available on Hesse & Knipps’ fully automatic heavy wire bonder BJ920. Hesse & Knipps, Paderborn, Germany, www.hesse-knipps.com #7357

Ball Placement System

DEK’s Galaxy DirEKt Ball Placement system allows for solder spheres as small as 0.2 mm in diameter at 0.3-mm pitch to be placed at substrate- and wafer-level. Parallel processing capabilities allows for repeatable accuracy and fast cycle times that are independent of I/O count.

In addition, the Galaxy platform is adaptable and can be redeployed to host other mass imaging applications including backside wafer coating, wafer bumping, encapsulation, and underfill deposition. DEK International, Weymouth, UK www.dek.com # 8111

H-Pin High-performance Sockets

Click here to enlarge image

Plastronics’ H-Pin is a stamped contact with probe pin performance for challenging environments. The three-piece, self-retaining H-Pin technology reportedly provides a current carrying capacity up to 6 amps and allows burn-in temperatures up to 200??C with electrical characteristics suited to higher frequency device, such as large package mP and ASICs. It offers compliancy up to 0.8mm of travel. Plastronics, Irving, TX www.PlastronicsUSA.com #7347

Plasma Cleaning and Contamination Removal System

The PE-100 from Plasma Etch, Inc. is a low-cost plasma etching system for universities, small R&D laboratories and pilot production facilities. The PE-100 provides a vacuum chamber that accommodates up to 240“ of process area capacity per run cycle. An RF power supply with matching network, vacuum pumping, PLC based process/vacuum controller with touch screen programming is included. Any process developed on the PE-100 can be scaled up to the larger Plasma Etch Inc systems as required by substrate size and throughput demands. Processes are suited to MEMS and wafer level packaging (WLP).
Plasma Etch, Inc. Carson City, NV www.plasmaetch.com #7562

Dicing Die-attach Films

Designed to streamline die-attach for stacked die applications, Hysol QMI5100 and Hysol QMI5200 combine the properties and functions of die-attach film (DAF) and dicing tape into one product. The film is laminated to the backside of the wafer, the wafer is diced, then picked up and moved to die placement. The materials leave no burrs after dicing, enable bondline thickness control, and eliminate bleed issues associated with die attach pastes. The electronics group of Henkel Irvine, CA, www.ushenkel.com, Semicon West Booth # 8311

3D-TSV Surface Treatment

The PS 4008 ASYNTIS, from PVA TePla AG was developed for 3D-through silicon via (TSV) ultra-thin silicon manufacturing and surface treatment. Remote cold dry etching (RCDE) is an isotropic process based on a microwave plasma source that provides fluorine radicals remotely in argon downstream to etch silicon. The process fits into the current thinning and dicing production process. The manual version suits R&D and pilot lines, and processes all substrates up to 300-mm. The automatic version meets mass production requirements. The PS 4008 ASYNTIS is said to singulate ultra-thin wafers damage-free without expensive lithography steps. PVA TePla www.pvatepla.com AG #8611

Bump and Probe Mark Inspection

Rudolph Technologies’ WS 3840 System is a high-throughput system that provides 2D and 3D bump metrology inspection, such as height, coplanarity, length/width, diameter, true position; bump defect inspection, such as bridging, missing nodules, nodule/crater inspection on gold bumps; and probe mark inspection. The WS 3840 can also provide diced wafer inspection to check for damage during the dicing process. Rudolph Technologies, Inc. Flanders, NJ www.rudolphtech.com #1621

WLCSP Test Sockets

Click here to enlarge image

Synergetix WLCSP interposers from Interconnect Devices, Inc. are based on Endura three-piece spring contact probes. Up to 16 sites may be simultaneously contacted, interposers are repaired quickly, and provide easy board layout, since pads are directly under device leads. Endura plating allows them to be used for hundreds of thousands of cycles, even with lead-free bumps. Other benefits include bandwidths greater than 10 GHz, current ratings as high as 7 amps per pin, pitch as fine as 0.25 mm, and easy singulated adaptations. Interconnect Devices, Inc. Kansas City, KS www.synergetix.com # 7736.