Issue



Table of Contents

Solid State Technology

Year 2000
Issue 2

DEPARTMENTS

Feature Products


Acoustic Scanner

The Model D-24 C-SAM scans large populated boards up to 24 x 24≤ and acoustically images component delaminations, cracks and voids in either of two modes. Single-scan mode scans the entire board when all components are the same height; multiple-scan mode scans mixed components individually.


Feature Products


Turn Key Laser Marking System

The PCM6800 marking system eliminates the cost of paper labels. It handles standard board sizes up to 500 mm x 500 mm and can mark any combination of text, barcodes or 2D cell codes. This platform features a SMEMA compatible PCB conveyor and can be installed on an automated assembly line or used as a stand-alone station. Options include variable Y-axis field positioning, multiple board stops, fume/exhaust removal and a visible laser pointer.


Feature Products


Pick and Place System

The MicroStage multi-axis system is suitable for many component manufacturing applications, including assembly and test. The system is Class 10 clean room certified, and it is composed of linear positioning stages that provide backlash-free low friction rolling motion without sacrificing load capacity or smoothness. Manufactured from aluminum, these low mass systems are available in a variety of stroke lengths, sizes and lead screw arrangements to accommodate various speeds, orientations and loa


Feature Products


Robot

The Selective Compliance Assembly Robot Arm TMB100 series features a two-piece arm with joints that allow it to move horizontally and rotate independently. The robot can cover a large working area with a small installation area and can be used in dispensing, soldering, screw tightening, pick and place, and general purpose applications. The product features repeatability within 0.01 mm, safe operation via area sensor and interlock capability, a memory card that stores up to 100 programs or 4,000


Feature Products


Hot Air Leveling Tape

Scapa 657 is a tough masking tape for protecting gold or rhodium finger contacts during hot air leveling and wave soldering processes. With durability and low static characteristics, the product is a specially treated paper laminated to a polyester film and coated with a silicone adhesive that allows the tape to withstand temperatures of nearly 500∞F without leaking. The product protects finger connectors against chemical solvents, solder and rinse water; detaches from the substrate withou


Feature Products


Rework System

The Sniper II is an extension of the Sniper Split Vision BGA/CSP and QFP rework system and includes a multiple profile storage system for data logging, and automatic loading and storage of rework temperature profiles. This low-temperature convection rework technology is enhanced with 16 multiple segment profile patterns and can be operated without a computer.


Feature Products


Moisture Barrier Bags

Statshield EMI/RFI High Moisture Barrier Bags provide a dry ESD-protected package for ESDS devices. The moisture transmission rate is < 0.005 gr, and the 6.5 mil thickness offers over 30 pounds of puncture resistance. Static dissipative properties comply with EIA-583-1 and available sizes range from 4 x 6≤ to 18 x 24≤. Desiccant and humidity indicator cards are available.


Feature Products


SMT Assembly System

The MP 1260 series SMT assembly systems feature continued operation while changing components or jobs and may be configured with up to 328 feed locations, and up to 10 flexible vacuum placement heads, gripper and dispense heads. The systems handle parts from 0201 to 55 mm2. Its vision system and ability to simultaneously pick up to five components allow for placement rates up to 5,000 CPH.


Feature Products


Stress Test System

The Accelerated Stress Test system can demonstrate in hours how a product will hold up after years of use. The system applies environmental stresses that accelerate product fatigue and expose defects that surface over time under normal conditions. Extreme stresses are imparted to products under test through ultra-high rates of temperature change in excess of 70∞C/min and multi-aces, repetitive shock vibration capable of producing acceleration forces over 50 g.


Feature Products


Machine Vision Engine

Based on the Windows CE operating system for embedded control applications, NetSight provides users with a fully programmable, mmx scaleable, high-performance and deterministic extension (or alternative) to host-based vision procession. Configurable with self-boot or remote-boot capability, the product can be used as a stand alone or network-ready device. In its self-contained, small footprint, OEM-style enclosure with 16-bit digital I/O for monitoring and controlling discrete processes, the pro


Industry Voices


Test methodologies and systems require paradigm shift

Leading semiconductor manufacturers argue that the cost of test is the single greatest contributor to the total cost of bringing an integrated circuit (IC) to market. If this is true, this plays a critical role in the success and profitability of newly emerging system-on-chip (SOC) technology. Semiconductor manufacturers hope to keep the cost of test and time-to-market for SOC development in line with today`s application-specific integrated circuit (ASIC) devices; this may prove to be a daunting


Feature Products


Reworkable Flip Chip Underfills

Loctite 3567 is an epoxy-based liquid underfill compatible with polyimide-passivated flip chip, CSP and BGA assemblies. The product allows a flip chip to be replaced after testing determines that the chip is defective; packages can be removed by heating the package and the underfill for one minute at 210 to 220∞C, where the epoxy will begin to decompose. The adhesive cures in 5 to 15 minutes when exposed to temperatures of 150 to 165∞C, is easy to dispense and quickly penetrates gaps


Feature Products


Moisture Protection Technology

2AP, a technology that combines desiccant with injection-molded plastic, can increase yield by reducing moisture contamination to wafers and finished semiconductors in front- and back-end handling applications. The product can reduce particle emissions and can be used as a polymer substitute for waffle trays, quad mount frames and IC handling trays.


Feature Products


Rework and Reballing Station

The M-7500 provides pad cleaning, paste/adhesive dispensing, removal and remounting of all types of ICs, PLCCs and QFPs. Kits can be purchased to add BGA, µBGA and CSP rework and reballing capabilities. The station has built-in profile storage and large/odd board capacity.


Feature Products


Flux Residue Cleaner

Vigon RC 100 cleans flux residues from reflow ovens and wave solder machines. The product is water-based and non-flammable with an HMIS rating of 0-0-0. It is used undiluted on either hot or cold surfaces, does not contain halogenated compounds and is biodegradable. The cleaner is applied with a hand spray bottle and dirt is wiped away with a cloth.


Feature Products


Assembly Tweezers

The SofTweezer line of soft-cushion grip tweezers is both ESD and clean room safe. Lightweight and ergonomically designed to reduce fatigue, eight different styles provide a range of grasping, holding and cutting capabilities for restricted spaces and repetitive cycles. The tweezers are made of anti-magnetic, acid-resistant stainless steel with wide foam cushion grips to reduce strain.


Feature Products


Functional Test System

GR Versa features a 21-slot 6U, PXI/CompactPCI backplane and PXIscan modular signal switching architecture. The software driver automates the switching process. The complete system offers a standardized hardware platform, instrumentation and switching modules, configured for specific test requirements.


Feature Products


Manufacturing Services

Lockheed Martin provides design, procurement, manufacturing and logistic support. Products produced are high-quality, reliable, ruggedized computers and peripherals; RF communications equipment; postal mail/package handling equipment; and large-scale systems, including COTS integration. Capabilities include CCA/PTH/SMT/BGA assembly and test. Full ISO/MIL/SEI level 4 qualified.


Feature Products


Smart Camera

The VC38 industrial smart camera is a complete vision system that measures 100 x 50 x 36 mm and weighs 250 mg. The product features a Sony 1/3 inch progressive scan sensor that provides a pixel resolution of 640 (H) x 480 (V), an analog devices digital signal processor, 8 MB of SDRAM and 2 MB of non-volatile flash EPROM. The camera also offers optically isolated industrial I/O, an RS-232 serial port, pixel-identical sensor readout and a video output signal that can be displayed on any standard V


Feature Products


Wafer Bump Reflow System

The new multi-belt continuous conduction wafer bump reflow system provides highly controlled and repeatable reflow profiles. Wafers are heated by conduction from a conveyor belt in the heating zones with subsequent transfer to an independent cool belt in the cooling zones. This low particulate environment can maintain an atmosphere at less than 5 ppm 02.


Feature Products


Component Placement Cell

The 3500-II is a fully automatic, high-accuracy die bonder capable of performing automated eutectic die attach using backside metalized die or preforms, and enables bonding of thin die with air bridges using 2 or 4-sided perimeter collets. Using look-up and look-down cameras for flip chip applications and "relative-to" referencing for linear micro-strip line placement, the 3500-II yields accuracies of ±0.5 mil.


Feature Products


One-piece Interface

One-piece interfaces on 1 mm pitch are available with a choice of board spacing, including 1.64 mm, 2.00 mm, 5.8 mm and 9.9 mm. Beryllium copper and phosphor bronze contacts provide high normal forces and a large contact deflection. Options include five-position single-row designs and double-row designs with up to 50 positions per row. These interconnects are available with standard SAE and metric threaded hold-down hardware, and optional alignment pins can be specified to position on the solder


Feature Products


Automatic Wedge Wirebonder

The H&K Bonjet 710`s open design allows for a bond area of 7.4≤ x 7.0≤, a variety of indexing systems and integration with other equipment. Other features include a high-frequency ultrasonic transducer of 100 kHz, the ability to use both gold and aluminum wire, and graphical representation of wire deformation. The product is suited for COB, hybrid, MCM, sensor and smart card applications.


Feature Products


Dispensing System

The M-2000 dispensing system is suited for high-volume, production-critical packaging applications, including flip chip underfill, cavity fill, and dam and fill. The product features a DP-3000 series linear pump for increased closed loop control and better than 1 percent, 3 sigma dispensing accuracy. The platform includes mass flow calibration, software-managed temperature control, advanced lighting systems, and operating software for easy set-up and system control.


New Products


Electroplating Technology

Through the use of patented electroplating technology, Unitive Electronics has announced the ability to produce a wide array of bump sizes and pitches for wafer bumping. Bumps ranging from 20 to 800 µms reportedly can be generated, with densities as high as 20 µm bumps on a 50 µm pitch. The process is based on patented Intermetallic Compound Patterning wafer bumping technology, which uses certain metals in the under-bump metallurgy to form intermetallic compounds with liquid solde


Feature Products


Module Tester

The M-2 Memory Test System is available with signal drivers and easy-to-use software. It allows testing of multiple PC 100/133, DDR or RAMBUS modules in parallel. The test socket board accommodates various package and device types. Hardware features include microsequence, address, data, select and control generators; pin driver; address and data scrambler memory; real-time error logging; programmable power supplies; DC parametric units; and test socket boards. Software for the M-2 runs on the Wi


Feature Products


BGA to BGA Adapters

These adapters can be used to convert difficult-to-assemble fine pitch BGAs to footprints that are compatible with standard manufacturing processes. They can also be used to isolate fine pitch, high-performance components from the motherboard to keep the motherboard layer count to a minimum. This product line is available from stock, and custom adapters are also available.


Feature Products


High Barrier Bags

Ultra Clear High Barrier Bags offer maximum optical clarity and moisture vapor protection. The product is humidity independent with a surface resistance of < 1012 ohms. Electrical properties will not wear off and multi-layer construction, with a thickness of 4.0 mils, provides high puncture resistance.


Feature Products


Multi-use Bonder

The Model 860 Omni bonder can be configured to bond flip chips, laser diodes and eutectic bonded die. The joystick-controlled system aligns and attaches die sizes from 0.006≤ square to 1≤ square at throughput rates of up to 200 placements per hour with placement accuracy of ± 5 microns. Standard features include an extend-retract cube beam splitter viewing system with illuminators and precision servo motor-driven Z motion with closed loop temperature control. A rapid heat-up sta


New Products


Autorouter

The BGA Route Wizard is an autorouter for PADS Software`s PowerBGA solution for advanced package design. A wizard-based automatic router for single die advanced packages, it is designed to serve as a cost-effective tool for solving package routing problems. The machine reportedly enables an engineer to quickly determine package feasibility based on the die and a set of technology rules. Once feasibility is established, the same tool completes the design with automatic routing optimized to manufa


News


DEK USA Inc., Flemington, N.J., has received the Partners in Performance award from Celestica Inc.DEK USA Inc., Flemington, N.J., has received the Partners in Performance award from Celestica Inc.


News


The Dii Group, Niwot, Colo., has announced that Dovatron, its electronics assembly subsidiary, has broken ground for a 40-acre manufacturing campus in Guadalajara, Mexico.


News


Dense-Pac Microsystems Inc., Garden Grove, Calif., has signed a manufacturing license agreement with Seagate Technology Inc.

Dense-Pac Microsystems Inc., Garden Grove, Calif., has signed a manufacturing license agreement with Seagate Technology Inc. for the use of Dense-Pac Microsystems` high-density, three-dimensional technology in Seagate disc drives.


News


ON Semiconductor, Phoenix, Ariz., opened a facility in Piestany, Slovakia, where full production of metal-gate CMOS logic devices will begin in the first quarter of 2000.


Editorial


Tackling 2000 and Beyond

During the past few months, there has been a spirit of regeneration throughout the world, as many eagerly anticipated the calendar year rolling over to 2000. Regardless of which stance you took regarding the true start of the third millennium, the result of last New Year`s still tends to be the same: From individuals to entire companies, everyone is talking about doing something new. Many tackled weighty resolutions this year like none other, while others just analyzed how to better accomplish t


Notes From The Floor


Lead-free ... Are You Ready?

Word has it that a prominent Silicon Valley-based semiconductor company president decreed to his packaging group that Japan`s requirement on lead-free electronic assemblies and the elimination of lead from the external solder on an integrated circuit (IC) package by the first quarter of 2001 (1Q01) will not be an impediment to his company`s shipment into Japan. In other words, "Packaging engineering, don`t let lead-free be another obstacle between Japan and U.S. trade; make sure you have a fix!"


News


Wastewater recycling system addresses environmental concerns

NEWBURY, OHIO -The STMicroelectronics (Phoenix, Ariz.) wafer fab has begun operation of an integrated wastewater recycling system designed and built by Kinetico Inc. (Newbury, Ohio). The system uses propritary recycling technology and is believed to be the first integrated water recycling system installed in the U.S. semiconductor industry for chemical mechanical planarization (CMP), metal, oxide and backgrinding process wastewater.


News


SEMI applauds Chinas entry into the WTO

WASHINGTON, D.C. - The United States and the People`s Republic of China have reached an agreement on terms governing China`s entry into the World Trade Organization (WTO). Under the reported terms of the accession package, China will participate in the Information Technology Agreement (ITA), eliminating tariffs on a number of high technology products, including semiconductor manufacturing equipment, by 2005. The agreement would also establish trading distribution rights and other rules on the tr


News


Tosoh SMD, Grove City, Ohio, has appointed Robert Cross to product support specialist.


News


William Stone has been promoted to president of Temptronic Corp., Newton, Mass.


News


Quad Systems Corp., Willow Grove, Pa., has appointed Elmer OBrien to vice president of technical services and support, Matt Stackhouse to senior director of technical services,

Quad Systems Corp., Willow Grove, Pa., has appointed Elmer O`Brien to vice president of technical services and support, Matt Stackhouse to senior director of technical services, and Edward Conson to Eastern region (U.S.) service manager.


News


Santa Clara, Calif.-based ChipPac Inc. appointed Robert Krakauer to chief financial officer.


News


Electro Scientific Industries Inc., Portland, Ore., announced the retirement of company founder Douglas C. Strain from his position as vice chairman of the board of directors.


News


National Technical Systems Inc., Calabasas, Calif., has named Martin M. Dresser as marketing director for com- puter software/hardware testing.


News


The International Microelectronics and Packaging Society award selection committee has chosen Lee R. Levine, principal metallurgical engineer with Kulicke & Soffa Industries Inc.,

The International Microelectronics and Packaging Society award selection committee has chosen Lee R. Levine, principal metallurgical engineer with Kulicke & Soffa Industries Inc., to receive the John A. Wagnon Technical Achievement Award.


News


BMI Corporate Inc., Palatine, Ill., has named the following individuals to the board of directors:

BMI Corporate Inc., Palatine, Ill., has named the following individuals to the board of directors: Mel Boldt, Jim Bernhart, John Canning, Patricia Marshall and Richard Smith.


News


Ekra America, Marlborough, Mass., has appointed Steve Hall as general manager and Jim Bernhard as vice president of sales and service.


News


Chaska, Minn.-based Entegris Inc. has promoted T. Weldon Smith to senior vice president of test, assembly and packaging business.


News


Trace Laboratories, Palatine, Ill., has completed a 3-meter semi-anechoic RF test chamber and a shielded control room at its newly renovated 27,000-square-foot electromagnetic compatibility facility.


News


American Microsystems Inc., Pocatello, Idaho, has opened a mixed-signal and analog design center near Salt Lake City, Utah.


News


Pilgrim ESD Technologies, Sea Cliff, N.Y., has been acquired by Desco Industries Inc., Chino, Calif.


News


Mitsui Chemicals, Purchase, N.Y., has launched its Web site at www.mitsuichemicals.com.


News


Toronto, Ontario-based Luxell Technologies Inc. has completed the initial phase of a $3 million expansion program that will double its annual flat panel display manufacturing capacity.

Toronto, Ontario-based Luxell Technologies Inc. has completed the initial phase of a $3 million expansion program that will double its annual flat panel display manufacturing capacity. The expansion is scheduled for completion in the third quarter of 2000.


News


El Segundo, Calif.-based International Rectifier has opened a facility in Swansea, Wales,

El Segundo, Calif.-based International Rectifier has opened a facility in Swansea, Wales, where power semiconductors and related components are assembled into proprietary motion control modules.


New Products


Wavesoldering Machine

Vectra is Electrovert`s newest addition to its group of wavesoldering machines. It is designed for board manufacturers with production environments that demand fast changeover, process flexibility, system reliability and easy maintenance. The machine is said to integrate proven technology and processing capabilities with new standard features, additional options and enhanced data acquisition software. It uses a Windows NT operating system and allows operator multitasking. It also has a new inter


New Products


Laser Marking System

The LM45 Laser Marking System consists of the LM45 Q-switched Nd:YAG laser marker integrated with an ergonomically designed MW workstation. The new system is designed to offer fast and flexible noncontact marking with accuracy and quality. Using high speed X-Y galvanometers, the laser beam is directed to the work surface through a flat field lens, producing a 5" square marking area. Laser spot diameters as small as 0.003" are said to produce clean, crisp high contrast marks over the marking area


New Products


Plastics Overmolding Process

The Matrix proprietary overmolding process fully encapsulates delicate sensitive electronic components using high temperature-resistant resins, such as PBT and high flow nylons. Featuring close tolerance overmolding, this process is said to eliminate costly, time-consuming potting/curing steps and other secondary operations. Reportedly capable of producing components ranging in size from 0.090 x 0.090 x 0.200" up to 9.00 x 9.00 x 1.00", the process can achieve tolerances of 0.0002", depending up


New Products


Signal Access Tool

A complete adapter debug support for the 466 MHz Intel Celeron Processor in the 370-pin socketed plastic pin grid array is now available. The adapter is said to provide design engineers with signal access at the chip level, enabling software integration and debugging capabilities. It is also designed to provide a hook-up from the processor to a logic analyzer or oscilloscope. This tool was created in response to Intel`s announcement to ship the Celeron solely as a 370-pin socketed plastic PGA.


New Products


UV Cure Adhesive

The Ablelux AA50 UV/visible blue light curable adhesive is for quick fixturing LED and laser light sources during the active alignment of transceiver package assemblies. Bonding is reportedly achieved in seconds. It is said to be a high-Tg, low-shrinkage material with adhesion to gold.


News


Raychem launches Web site

Raychem Corp. introduced a new Web site dedicated to its gel products. Located at http://gels.raychem.com, the site enables design engineers and buyers to locate product information, technical and performance data, and tips on identifying the gels to best meet application needs. The site offers visitors a product selector, the ability to view, download and request information, and a search function to locate distributors and representatives.


News


August Technology ranked tenth fastest in growth

Edina, Minn.-based August Technology, a worldwide supplier of automated, 100 percent micro-defect inspection equipment to the semiconductor industry, has been given a "Minnesota Technology Fast 50" award as the tenth fastest growing technology company in Minnesota, based on revenue growth. From 1994 to 1998, the company enjoyed an increase in revenue of 895 percent.


News


MeltroniX to build for high-bandwidth-access markets

San Diego, Calif.-based Microelectronic Packaging Inc. has changed its name to MeltroniX Inc. and has a new focus in microelectronic design, volume manufacturing and test services on wireless/telecom and internet equipment companies that serve high-bandwidth-access markets associated with e-commerce. Because the company discontinued its ceramic package operations in Singapore, it was felt that the previous name did not accurately reflect its current business.


News


Flash memory partnership

InterWorks Computer Products, a provider of modular solutions to telecom, internetworking and embedded subsystems, has formed a strategic partnership with Houston`s BP Microsystems. The latter firm, which markets a range of engineering and production device programmers, will work with InterWorks to augment its flash memory support services in the areas of programming, test and verification.


News


Static-control info now on web

Hatfield, Pa.-based Chapman-SIMCO has launched a new Web site containing data on static elimination, electrostatic charging and web cleaning at www.chapman-static.com. The site also includes information on how charges occur, methods of static elimination/charging for electrostatic bonding and a general positioning guide for control devices.


News


ASAT offers thermal addition to IC package design software

Fremont, Calif.-based ASAT will assist Fluent Inc. in building integrated circuit macros in Fluent`s thermal management Icepak software. The tool enables designers to define the characteristics of the package so that it can be represented in a computer model. A variety of IC package thermal models using JEDEC standards will be used to guide the development of the macros at Fluent.


News


DARPA commissions PPI for laser tool

Lanham, Md.-based Potomac Photonics Inc. is in receipt of a $7.5 million contract from the Defense Advanced Research Projects Agency to develop a laser-based tool for fabrication of the next-generation of resistors and capacitors. The overall goal is a commercially available machine able to produce mesoscale electronic devices in a conformal manner on virtually any substrate.


News


Tru-Si tackles problems of chip-to-chip vertical interconnection

SUNNYVALE, CALIF. - Tru-Si Technologies Inc., a leader in atmospheric downstream plas- ma (ADP) processing for vertical miniaturization solutions, has announced a breakthrough overcoming the challenges that threaten Moore`s Law. Using its ADP etching process, the company has discovered a method of successfully stacking multiple chips by stacking wafers containing dif- ferent circuit functions, such as memory, logic, analog and digital. This technology, which maintains the economies of scale inhe


News


PennWell acquires Advanced Packaging

LIBERTYVILLE, ILL. - PennWell, a diversified media company with magazines, trade shows and conferences in more than 40 markets worldwide, has acquired Advanced Packaging and four other magazine titles (SMT, Connector Specifier, Vacuum & Thinfilm and SMT International) from Libertyville, Ill.-based IHS Publishing Group.


News


NEPCON West offers new TAC line

NORWALK, CONN. - An all-new Technology Advancement Center (TAC) line, an expanded technical conference and many special events are among the highlights of NEPCON West 2000, which will be held at the Convention Center in Anaheim, Calif. More than 700 companies will exhibit, representing all sectors of electronics manufacturing from design through test.


News


SIA forecasts 21% growth in 2000, 20% in 2001

SAN JOSE, CALIF. - The Semiconductor Industry Association (SIA) has recently announced its predictions for industry growth. SIA forecasts that 2000-2001 growth rates will exceed 20 percent, part of a strong surge in growth overall from 1999-2002. The trade group predicts that the worldwide semiconductor industry will grow 15 percent with sales topping $144 billion in 1999, marking the first sustained period of growth since 1995. Sales are expected to surge 21 percent to $174 billion in 2000. Con


Automotive Perspective


Underfill: what designers applaud and manufacturers tolerate

Flip chip underfill is the enabling process for flip chip interconnect survival on printed circuit boards (PCB). Its function: to distribute shear stress normally placed on the solder bumps. Stress is a product of the dissimilar coefficients of thermal expansion between the silicon integrated circuit and the laminate. Also, underfill enables the use of larger flip chip die on ceramic substrates, which historically feature smaller flip chips assembled with only compliant solder bumps to absorb co


News


SEMATECH offers new methodology

The SEMATECH reliability technology advisory board developed a reliability evaluation test methodology to keep pace with market trends. The "Use Condition Methodology" is based on conditions in which the semiconductor package will be used. Detailed process steps are described for suppliers to establish the reliability stress program and evaluate all encountered failure mechanisms. This methodology requires extensive knowledge of the physics of failure mechanisms and the anticipated use of the co


News


Photon to acquire CR Technology

An agreement for Photon Dynamics Inc. to purchase CR Technology is intended to expand Photon`s geographic presence and leverage its technology into new markets. Photon is a provider of inspection and test equipment for the flat panel display industry, and CR Technology manufactures X-ray and optical inspection systems. The acquisition is expected to increase Photon`s inspection capability and ensure defect-free bonding to glass substrates.


FEATURES

Step By Step


Die placement

With the ongoing demand to reduce product size while producing more functionality, die placement continues to be an important step in the advanced package assembly process. Crucial die placement considerations include the die presentation and metrics, material properties of the flux, force of placement, and substrate imaging capabilities.


The Effect Of Contract M


The Effect of Contract Manufacturing on OEM Financial Metrics

Original equipment manufacturers (OEMs) commonly use metrics to allocate assets and develop strategies for future performance. Department budgets are calculated, goals are set and success or failure is measured by a carefully predetermined set of metrics. Unfortunately, this same level of precision is often not applied to calculate the return on investment provided by outsourcing.


Using Stencil Printing T


Using stencil printing to bump wafers: convergence in action

The traditional division of the electronics industry into two segments - "back end" component packaging and "front end" product assembly, each with its own distinct production techniques - was rarely questioned as the industry has evolved. However, recent advances in both packaging and assembly technologies have raised the issue of convergence: Is there a potential for overlap between these two distinct areas of the industry? In a number of instances, each of the two segments already has product


The Forgotten Contaminan


The forgotten contaminant: Body-borne static

A "total system approach" to clean-room garments protects against contaminants and electrostatic discharge.


Void Free Flux Free Proc


Void-free, flux-free process for placement and attach of solder balls

As production of wafer bumping, chip scale packages (CSPs), micro ball grid array (µBGA) and flip chip packages increases, so does the need for cost-effective and high yield production. The present method can produce unacceptable yields because it requires the use of flux; when the flux liquifies during the reflow soldering operations, the bonds between the flux, balls and pads are destroyed and the balls are free to move. Defects, such as vacancies, bridging of adjacent balls, loss of posi


Lead Free Project Focuse


Lead-free project focuses on electronics assemblies

There has been increasing interest in the electronics assembly community regarding environmentally friendly assembly processes, including lead-free assembly, because of regulatory and consumer pressure from Europe and Japan. In the past year, leading Japanese original equipment manufacturers (OEMs) have made numerous pronouncements about their goals to reduce and eliminate lead in their products, and they have demonstrated that such "green" products can increase market share. In Europe, the prop


New Die Attach Adhesives


New die attach adhesives that stick

A new generation of materials offers low modulus and low moisture absorption, and reduces warpage, cracking and delamination.