Category Archives: 3D Integration

IC Insights has revised its outlook for semiconductor industry capital spending and presented its new findings in the August Update to The McClean Report 2017.  IC Insights’ latest forecast is for semiconductor industry capital spending to climb 20% this year.

Figure 1 shows the steep upward trend of quarterly capital spending in the semiconductor industry since 1Q16. Although there was a slight pause in the upward trajectory in 1Q17, 2Q17 set a new record for quarterly spending outlays.   Moreover, 1H17 semiconductor industry spending was 48% greater than in 1H16.  IC Insights believes that whether industry-wide capital spending in the second half of 2017 can match the first half of the year is greatly dependent upon the level of Samsung’s 2H17 spending outlays.

Not only has Samsung Semiconductor been on a tear with regard to its semiconductor sales, surging into the number one ranking in 2Q17, but the company has also been on a tremendous capital spending spree for its semiconductor division this year.  As depicted in Figure 2, Samsung spent a whopping $11.0 billion in capital outlays for its semiconductor group in 1H17, more than 3x greater than the company spent in 1H16 and only $300 million less than the company spent in all of 2016!   In fact, Samsung’s capital expenditures in 1H17 represented 25% of the total semiconductor industry capital spending and 28% of the outlays in 2Q17.

While the company has publicly reported that it spent $11.0 billion in capital outlays for its semiconductor division in 1H17 (a $22.0 billion annual run-rate), Samsung has been very secretive about revealing its full-year 2017 budget for its semiconductor group (it might be afraid of shocking the industry with such a big number!).  In 2012, the year of Samsung’s previous first half spending surge before 1H17, the company cut its second half capital outlays by more than 50%, from $8.5 billion in 1H12 to $3.7 billion in 2H12.  Will the company follow the same pattern in 2017?  At this point, it is impossible to tell.  IC Insights believes that Samsung’s full-year 2017 capital expenditures could range from $15.0 billion to $22.0 billion!

Figure 1

Figure 1

If Samsung spends $22.0 billion in capital outlays this year, total semiconductor industry capital spending could reach $85.4 billion, which would represent a 27% increase over the $67.3 billion the industry spent in 2016.

It is interesting to note that two of the major spenders, TSMC and Intel, are expected to move in opposite directions with regard to their 2H17 capital spending plans. TSMC spent about $6.8 billion in capital outlays in 1H17. If it sticks to its $10.0 billion budget this year, which it reiterated in its second quarter results, it would only spend about $3.2 billion in 2H17, less than half its outlays in 1H17. In contrast, Intel spent only about $4.7 billion in 1H17, leaving the company to spend about $7.3 billion in 2H17 in order to reach its stated full-year 2017 spending budget of $12.0 billion.

Figure 2

Figure 2

Global DRAM revenue reached a new historical high in the second quarter of 2017, reports DRAMeXchange, a division of TrendForce. Compared with the first quarter, the undersupply situation was not as severe, and OEM clients in the downstream were able to gradually extend their inventories. Nevertheless, the global ASPs of PC and server DRAM products rose by more than 10% sequentially in the second quarter, while the global ASP of mobile DRAM products showed a less than 5% gain. The smaller price increase for mobile DRAM was due to Chinese smartphone brands lowering than annual shipment targets.

“The DRAM market benefitted from the upswing in ASPs and continuing progress in suppliers’ technology migrations,” said Avril Wu, research manager of DRAMeXchange. “At the same time, suppliers do not appear to have plans to expand their production capacities in a significant scale between now and the end of the year.” The global DRAM revenue has thus kept growing, registering a 16.9% sequential increase for this second quarter.

In the third quarter, the releases of new flagship devices from first-tier smartphone brands, together with the traditional peak sales season, will trigger another wave of mobile DRAM demand. DRAM prices in general will stay on an upward trend for the remainder of 2017.

DRAMQ22017

The second-quarter revenue ranking shows Samsung firmly in its first place position. Samsung’s revenue for the second quarter came to another historical high, growing by 20.7% sequentially to US$7.6 billion. Second-place SK Hynix also posted an impressive sequential increase of 11.2%, totaling US$4.5 billion. Samsung’s and SK Hynix’s global market shares for the second quarter were 46.2% and 27.3%, respectively. Together, the two South Korean suppliers accounted for 73.5% of the world’s DRAM market. Third-place Micron’s second-quarter revenue totaled US$3.6 billion, an increase of 20.2% versus the first quarter and representing 21.6% of the global market.

In terms of operating margins, Samsung had the highest in the industry for the second quarter at 59%. Samsung benefitted from rising DRAM prices and its lead in manufacturing technology. Likewise, SK Hynix raised its operating margin from 47% in the first quarter to 54% in the second. Micron too increased its operating margin from 32.5% to 44.3% between the quarters. Since DRAM prices will keep rising and the production capacity expansion will be limited in the second half of 2017, suppliers can expect further increases in their operating margins.

In the aspect of technology migration, Samsung remains focused on developing its 18nm process. With the increase and stabilization of the yield rate, Samsung expects the 18nm to represent nearly half of its total DRAM output by the end of 2017. As for SK Hynix, the supplier is raising the yield rate and output share of its 21nm process. However, SK Hynix has also arranged for the mass production its 18nm process at the end of 2017. By the first half of 2018, SK Hynix wants to significant expand the DRAM production based on its 18nm technology.

Micron’s Taiwanese subsidiaries Micron Memory Taiwan and Micron Technology Taiwan (formerly known as Inotera) are respectively on the 17nm and the 20nm technology. Micron Memory Taiwan has steadily increased the yield rate for its 17nm process and expects at least 80% of its total DRAM output by the end of this year will be based on this technology. Micron Technology Taiwan, on the other hand, has no plan to transition to a more cutting edge technology this year. However, this subsidiary has set the target of attaining at least 50% output share for the 17nm process in 2018.

Regarding the Taiwanese DRAM suppliers, Nanya’s second-quarter revenue grew by 5.9% sequentially on the back of rising prices for specialty DRAM products. Nanya has formally begun mass producing DRAM on its 20nm process and is on track to achieve a total DRAM capacity of 30,000 wafer starts per month by the end of 2017.

Powerchip’s DRAM revenue for the second quarter fell by 2.5% compared with the prior quarter because of wafer loss caused by the moving of its 25nm processing equipment.

Winbond’s second-quarter DRAM revenue rose by 3.7% sequentially as the supplier also profited from rising prices for specialty DRAM products. Winbond has no immediate plan to increase DRAM wafer starts as it is focused on meeting the strong NOR Flash demand. However, the supplier has scheduled the mass production of DRAM on its 38nm technology for the second half of 2017. The increase in output due to the ramp-up of the 38nm process will make a positive contribution to Winbond’s future DRAM revenue results.

Chipmakers want every part of the wafer to produce, or yield, good die. Advances in process technologies over the years have just about made this a reality, even as feature dimensions continue to shrink and devices grow ever more complex. Now, the last frontier is improving yields at the edge of the wafer – the outer 10 mm or so – where chemical, physical, and even thermal discontinuities are simply much harder to control. Complicating matters, current strategies used to manage these edge issues involve tradeoffs between yield and manufacturing costs that result in less than ideal fab economics. At Lam, our technologists have been working on solutions to this challenge, and today, we released the new Corvus™ edge control technology for our Kiyo® conductor etch products to address these very issues and enhance edge yield.

Edge Challenges

Taking a closer look at the wafer’s edge, where up to ~10% of the die may be located, there are several issues at play that can impact yield. In all plasma etch reactors, the abrupt end of the wafer surface creates inherent electrical discontinuities at the edge region, forming voltage gradients that bend the plasma sheath. This, in turn, changes the direction of the plasma’s components (ions and neutrals), which impacts etch results and causes unwanted variability. In the case of 3D NAND devices, for example, this change in the plasma conditions at the wafer’s edge can cause tilted etch profiles or prevent features from being completely etched. In addition to affecting tilt angle, these edge effects can result in non-uniform critical dimensions (CDs) or changes in local overlay metrics.

LAMResearch1

Another challenge is that process drift creates CD uniformity and selectivity problems over time. As a way to manage this, chipmakers often add more chamber wet cleans to restore the equipment to a standard condition. However, this approach significantly reduces productivity because the chamber is not available for processing wafers during this maintenance. In addition, as process margins get tighter, more frequent wet cleans are required, which increases operational costs.

Corvus Solution

Lam’s new Corvus technology provides a novel capability to smooth out extreme edge discontinuities and enhance edge performance. It offers the ability to tune the plasma sheath at the edge to produce a constant, user-defined etch rate and ion angle. For example, etch rate can be tuned to be faster or slower at the edge relative to the rate over the rest of the wafer. With 3D NAND applications, Corvus technology has demonstrated the ability to minimize plasma sheath drift, preventing detrimental feature tilting at the wafer’s edge. Tuning to within 1.5 mm of the edge, the new technology can correct for inherent process variation in the edge region as well as for incoming film variations to optimize die yield. Furthermore, with Corvus, every wafer sees the same edge conditions for optimal yield, eliminating previously seen systematic wafer-to-wafer yield variability.

Corvus technology not only improves across-wafer uniformity, it also greatly reduces wafer-to-wafer and chamber-to-chamber variability and eliminates the historical tradeoffs among yield, operational flexibility, and cost. Customers have reported die yield improvements of 0.5-2% per wafer, which can be a significant advantage – especially when you consider how many thousands of wafers chipmakers process every day. Additionally, Corvus has demonstrated the ability to provide higher and more consistent yield over a longer period. It also greatly enhances productivity and lowers overall fab operating costs for high-volume manufacturing by requiring fewer chamber wet cleans. The new technology is being used for advanced patterning, mask open, and other challenging conductor etch applications where reducing variation in CD, profile, or selectivity and improving productivity helps enable continued scaling.

The new capability provided by Corvus complements Lam’s Hydra® technology, which enables fine tuning of within-wafer uniformity and actively compensates for incoming variation. Together, these advanced process control technologies are reducing variability across the entire wafer surface, improving yield, and enabling the production of next-generation logic and memory devices.

Welch Foundation, the Army Research Office and the National Science Foundation supported the research.

Top five product segments driving the first annual double-digit IC market upturn since 2010.

IC Insights has revised its outlook and analysis of the IC industry and presented its new findings in the Mid-Year Update to The McClean Report 2017, which originally was published in January 2017. Among the revisions is a complete update of forecast growth rates of the 33 main product categories classified by the World Semiconductor Trade Statistics organization (WSTS).

ICInsights1

Figure 1 shows the complete ranking of IC products by forecasted growth rate for 2017. Topping the chart of fastest-growing products is DRAM, which comes as no surprise given the strong rise of average selling prices in this segment throughout the first half of 2017.  IC Insights now expects the DRAM market to increase 55% in 2017 and lay claim as the fastest-growing IC product segment this year. This is not unfamiliar territory for the DRAM market.  It was also the fastest-growing IC segment in 2013 and 2014. Remarkably, DRAM has been at the top and near the bottom of this list over the past five years, demonstrating its extremely volatile nature (Figure 2).

ICInsights2

The Industrial/Other Special Purpose Logic segment is projected to grow 32% and two automotive-related IC categories—Automotive Special Purpose Logic (48%) and Automotive Application Specific Analog (18%)—are also on course for growth that will exceed the 16% expected of the total IC market. There are more IC categories that are forecast to show positive growth in 2017 (29) compared to 2016 (21), but only the top five market segments mentioned above are forecast to exceed the total IC market growth in 2017, indicating top-heavy market growth. Another five segments (two analog categories, two MCU segments, and Computer and Peripherals—Special Purpose Logic) are forecast to show double-digit growth in 2017, though less than the 16% forecast for the total IC market this year.

Additional details and discussion regarding the updated IC forecasts for the 2017-2021 timeperiod are covered in IC Insights’ Mid-Year Update to The McClean Report 2017.

Vacuum pumps, pressure gauges and vacuum valves combined make up the biggest expense on the bill of materials for semiconductor OEMs. In 2016, just over $1.9 billion of vacuum subsystems were consumed by the semiconductor industry and more than half were supplied by European vendors, according to VLI Research.

Vacuum-Subsystem-image1

Vacuum subsystems sales account for one third of expenditures on all critical subsystems used on semiconductor manufacturing equipment (excluding optical subsystems). The increase in vacuum process intensity of the semiconductor industry means that by 2022, the market for vacuum subsystems could be up to 62 percent higher than today’s value of $1.9 billion, reaching a market size of $3.1 billion.

The growing number of vacuum process steps has been driven by multiple patterning and the successful introduction of 3D NAND. Both require additional deposition and etch steps and, in the case of 3D NAND, longer and more difficult etch processes. On the negative side, this has increased costs for chipmakers and is driving the adoption of Extreme Ultraviolet lithography (EUV) which reduces the reliance on multiple patterning. However, even with EUV (which is a vacuum process), the number of deposition and etch steps are still expected to increase, albeit at a lower rate. This explains why the forecast is for sales of vacuum subsystems to outgrow the market over the next five years.

Vacuum-Subsystem-image2

The top five vacuum subsystem suppliers account for 68 percent of the market and is dominated by four European based vendors. In 2016, over 58 percent of all vacuum subsystems were sold by European companies and is a reflection of the European origins of vacuum technology. The Japanese vendors as a group make up 21 percent of the total while North American vendors supply 16 percent.

There is a push for more localisation of vacuum subsystem supply especially in Korea and China but to date this has not resulted in a serious local supplier emerging to challenge the incumbents. The strong hold that Europeans and Japanese have on the technology mean that we are unlikely to see any meaningful regional shifts in supply in the foreseeable future.

The expectation is that vacuum subsystems suppliers will continue to make a valuable contribution to semiconductor manufacturing over the long-term as the trend for more vacuum process steps continues.

Samsung Electronics Co., Ltd. has announced new V-NAND (Vertical NAND) memory solutions and technology that will address the pressing requirements of next-generation data processing and storage systems. With the rapid increase of data-intensive applications across many industries using artificial intelligence and Internet of Things (IoT) technologies, the role of flash memory has become extremely critical in accelerating the speed at which information can be extracted for real-time analysis.

At the inaugural Samsung Tech Day and this year’s Flash Memory Summit, Samsung is showcasing solutions to address next-generation data processing challenges centered around the company’s latest V-NAND technology and an array of solid state drives (SSDs). These solutions will be at the forefront of enabling today’s most data-intensive tasks such as high-performance computing, machine learning, real-time analytics and parallel computing.

“Our new, highly advanced V-NAND technologies will offer smarter solutions for greater value by providing high data processing speeds, increased system scalability and ultra-low latency for today’s most demanding cloud-based applications,” said Gyoyoung Jin, executive vice president and head of Memory Business at Samsung Electronics. “We will continue to pioneer flash innovation by leveraging our expertise in advanced 3D-NAND memory technology to significantly enhance the way in which information-rich data is processed.”

Samsung heralds era of 1-terabit (Tb) V-NAND chip

Samsung announced a 1Tb V-NAND chip that it expects to be available next year. Initially mentioned in 2013, during unveiling of the industry’s first 3D NAND, Samsung has been working to enable its core memory technologies to realize one terabit of capacity on a single chip using a V-NAND structure.

The arrival of a 1Tb V-NAND chip next year will enable 2TB of memory in a single V-NAND package by stacking 16 1Tb dies and will represent one of the most important memory advances of the past decade.

NGSFF (Next Generation Small Form Factor) SSD to improve server storage capacity and IOPS

Samsung is sampling the industry’s first 16-terabyte (TB) NGSFF SSD, which will dramatically improve the memory storage capacity and IOPS (input/output operations per second) of today’s 1U rack servers. Measuring 30.5mm x 110mm x 4.38mm, the Samsung NGSFF SSD provides hyper-scale data center servers with substantially improved space utilization and scaling options.

Utilizing the new NGSFF drive instead of M.2 drives in a 1U server can increase the storage capacity of the system by four times. To highlight the advantages, Samsung demonstrated a reference server system that delivers 576TB in a 1U rack, using 36 16TB NGSFF SSDs. The 1U reference system can process about 10 million random read IOPS, which triples the IOPS performance of a 1U server equipped with 2.5-inch SSDs. A petabyte capacity can be achieved using only two of the 576TB systems.

Samsung plans to begin mass producing its first NGSFF SSDs in the fourth quarter of this year, while working to standardize the form factor with industry partners.

Z-SSD: optimized for systems requiring fast memory responsiveness

Following last year’s introduction of its Z-SSD technology, Samsung introduced its first Z-SSD product, the SZ985. Featuring ultra-low latency and high performance, the Z-SSD will be used in data centers and enterprise systems dealing with extremely large, data-intensive tasks such as real-time “big data” analytics and high-performance server caching. Samsung is collaborating with several of its customers on integrating the Z-SSD in upcoming applications.

The Samsung SZ985 requires only 15 microseconds of read latency time which is approximately a seventh of the read latency of an NVMe SSD. At the application level, the use of Samsung’s Z-SSDs can reduce system response time by up to 12 times, compared to using NVMe SSDs.

With its fast response time, the new Z-SSD will play a pivotal role in eliminating storage bottlenecks in the enterprise and in improving the total cost of ownership (TCO).

New approach to storage with proprietary Key Value SSD technology

Samsung also introduced a completely new technology called Key Value SSD. The name refers to a highly innovative method of processing complex data sets. With the sharply increasing use of social media services and IoT applications, which contribute to the creation of object data such as text, image, audio and video files, the complexity in processing this data increases substantially.

Today, SSDs convert object data of widely ranging sizes into data fragments of a specific size called “blocks.” The use of these blocks requires implementation processes consisting of LBA (logical block addressing) and PBA (physical block addressing) steps. However, Samsung’s new Key Value SSD technology allows SSDs to process data without converting it into blocks. Samsung’s Key Value instead assigns a “key” or specific location to each “value,” or piece of object data – regardless of its size. The key enables direct addressing of a data location, which in turn enables the storage to be scaled. Samsung’s Key Value technology enables SSDs to scale-up (vertically) and scale-out (horizontally) in performance and capacity. As a result, when data is read or written, a Key Value SSD can reduce redundant steps, which leads to faster data inputs and outputs, as well as increasing TCO and significantly extending the life of an SSD.

 

GLOBALFOUNDRIES today announced that it has demonstrated silicon functionality of a 2.5D packaging solution for its high-performance 14nm FinFET FX-14 integrated design system for application-specific integrated circuits (ASICs).

The 2.5D ASIC solution includes a stitched interposer capability to overcome lithography limitations and a two terabits per second (2Tbps) multi-lane HBM2 PHY, developed in partnership with Rambus, Inc. Building on the 14nm FinFET demonstration, the solution will be integrated on the company’s next-generation FX-7 ASIC design system built on GF’s 7nm FinFET process technology.

“With the tremendous advances in interconnect and packaging technology that has occurred in recent years, the line between wafer processing and packaging has blurred,” said Kevin O’Buckley, vice president of ASIC product development at GF. “Incorporating 2.5D packaging into ASIC design boosts performance beyond scaling and is a natural evolution of our capabilities. It enables us to support our customers in a one-stop end-to-end fashion, from product design all the way through manufacturing and testing.”

The Rambus memory PHY is aimed at high-end networking and data center applications performing the most data-intensive tasks in systems requiring low-latency and high-bandwidth. The PHY is compliant with the JEDEC JESD235 HBM2 standard, supporting data rates up to 2Gbps per data pin, enabling a total bandwidth of 2Tbps.

“We strive to deliver comprehensive HBM PHY technologies that will enable data center and networking solution providers to meet today’s most demanding workloads and take advantage of compelling market opportunities,” said Luc Seraphin, senior vice president and general manager, Memory and Interfaces Division at Rambus. “Our collaboration with GF combines our HBM2 PHY with their 2.5D packaging and FX-14 ASIC design system and provides a fully-integrated solution for the industry’s fastest-growing applications.”

FX-14 and FX-7 are complete ASIC design solutions that take advantage of GF’s experience in volume production with FinFET process technology. They comprise functional modules based on the industry’s broadest and deepest intellectual property (IP) portfolio, which makes possible unique solutions for next-generation wired/5G wireless networking, cloud/data center servers, machine learning/deep neural networks, automotive, and aerospace/defense applications. GF is one of only two companies in the world that delivers best-in-class IP plus advanced memory and packaging solutions.

Toshiba America Electronic Components, Inc. (TAEC) announces the new SG6 series, the latest Toshiba client SSD to feature 64-layer, 3-bit-per-cell TLC (triple-level cell) BiCS FLASH to deliver better transfer speeds and power efficiency. This family of SSDs is designed for mainstream desktops and notebooks, consumer upgrades, as well as applications needing data security.

Toshiba SG6 Series (Photo: Business Wire)

Toshiba SG6 Series (Photo: Business Wire)

With increased performance over the prior generation, SG6 features the latest SATA technology to deliver up to 550 MB/s sequential read and 535 MB/s sequential write, and up to 100,000 and 85,000 random read/write IOPS delivering enhanced application performance. Furthermore, compared to its previous generation, active power consumption was decreased by up to 40% enabling increased battery life for mobile computing.

The SG6 series comes in both M.2 2280 and 2.5-type SATA standardized form factors and includes 256GB, 512GB, and 1024GB capacities. Addressing business applications requiring security, SG6 offers advanced firmware security and self-encrypting drive (SED) models supporting TCG Opal Version 2.01.

“Toshiba is committed to further accelerating the adoption of SSDs in client PCs,” said Neville Ichhaporia, director client and data center SSD marketing at Toshiba America Electronic Components, Inc. “Our new SG6 SATA SSD series demonstrates that and delivers a cost-effective solution on a mature, proven platform with an excellent balance of power and performance in a variety of form factors and capacities.”

The SG6 series will be showcased at the 2017 Flash Memory Summit in Santa Clara, CA, from August 8 to 10 in booth #407. Samples are currently shipping to customers with general availability later this year.

IntelliProp, Inc., a developer of Intellectual Property (IP) Cores and semiconductors for Data Storage and Memory applications, announced today the IPA-PM185-CT, Gen-Z Persistent Memory Controller, code named “Cobra.” This controller combines DRAM and NAND and sits on the Gen-Z fabric, not the memory bus. Cobra has the ability to support byte addressability to DRAM cache and Block addressability to NAND flash supporting up to 32GB of DRAM and 6TB of NAND. IntelliProp is a member of the Gen-Z consortium and is working closely with a number of other companies to support the first multi-company Gen-Z demo, being shown this week at Flash Memory Summit.

IntelliProp is exhibiting at Flash Memory Summit, being held at the Santa Clara Convention Center, August 8-10, 2017. IntelliProp is in booth #821. The Gen-Z “Cobra” Controller along with other IP & ASSP demos will be shown at IntelliProp’s booth. The Gen-Z Cobra controller will also be showcased in the Gen-Z Consortium demo in booth #739.

IntelliProp is also showing the NVMe Host Accelerator IP Core, the IPC-NV164-HI. This Core will find primary application with companies doing FPGA and ASIC designs who need high performance connectivity with PCIe based NVMe storage devices. Compliant with the NVMe 1.3 specification, the NVMe Host Accelerator IP Core provides a simple firmware or RTL driven interface for data movement to and from an NVMe endpoint attached to a PCIe link. “We manage the command and completion queues in hardware to accelerate performance by off-loading the processor from needing to handle numerous interrupts,” said Hiren Patel, VP of Business Development at IntelliProp. “The NVMe Host Accelerator IP Core is shipping today for all Xilinx and Altera FPGAs including the latest Ultrascale Plus and Arria 10 FPGAs.  And for those customers that want acceleration with Linux, IntelliProp has also written a Linux driver to work with the NVMe Host Accelerator IP Core,” continued Mr. Patel.

IntelliProp is excited to also announce the availability of additional NVMe products for the storage market.   IntelliProp has released the IPC-NV171A-BR, NVMe-to-NVMe Bridge and the IPP-NV186A-BR, NVMe-to-SATA Bridge. “The NVMe-to-NVMe bridge allows customers to manipulate data or commands from a PCIe root-complex such as a PC to an NVMe drive. The NVMe-to-SATA bridge allows customers to use SATA drives which will enumerate as NVMe drives in the host system,” said Hiren Patel.

As 3D NAND becomes the mainstream technology, its challenging roadmap poses opportunities for continued innovation.

BY HARMEET SINGH, Lam Research Corp., Fremont, CA

Since its introduction several years ago, 3D NAND has become a mainstream technology because of its ability to increase bit density in memory devices. Its adoption has been accelerated by advances in the underlying manufacturing processes that are enabling 3D architectures and lowering the cost per bit. With all its advantages, however, the overall complexity and capital intensity of 3D NAND manufacturing add significantly to the challenges fabs are facing in terms of process control, yield, and economics.

Market and technology drivers for 3D NAND

The main impetus for 3D NAND was the recognition that planar technology was approaching the end of its physical limits to deliver higher densities and a lower cost-per-bit. Past advances in conventional planar NAND technology have primarily been driven by physical scaling, where lithography capabilities determined just how many memory cells could fit within a given die size. Using multiple levels of charge within each cell by going from single- to multi-level cell designs has also enabled increased bit densities. However, these improvements typically have come at the expense of speed because of the need to differentiate between the multiple levels of charge. In addition, since the individual memory cells for these designs lie in a horizontal plane, scaling is still ultimately limited by lithography. Other challenges in scaling 2D NAND beyond the 15 nm node include cell-to-cell interference, unscalable dielectrics, and electron leakage [1].

To address these challenges, 3D NAND fundamentally changes the scaling paradigm. Instead of traditional X-Y scaling in a horizontal plane, 3D NAND scales in the Z-direction by stacking multiple layers of NAND gates vertically. This allows more cells to be packed into the same X-Y space (planar area) on the die without shrinking dimensions horizontally. By easing cell size requirements, triple- and even quadruple-level cell designs are possible. As such, 3D NAND offers a signif- icant increase in bit density over planar NAND.

Unlike planar NAND, where scaling is primarily driven by lithography, 3D NAND scaling is enabled by advances in deposition and etch processes. An incredible level of precision and repetition is required in defining complex 3D structures with extremely high aspect ratio (HAR) features. Achieving success with 3D NAND requires innovative deposition and etch solutions that minimize variability.

Overview of critical 3D NAND processes

The 3D NAND architecture requires advanced capabilities enabling HAR and complex structures (FIGURE 1). Critical processes involved include multilayer stack deposition, HAR channel etch, wordline metallization, staircase etch, HAR slit etch, and stair contacts formation. The following sections look at some of these areas in more depth and describe the most critical process parameters that must be controlled.

Screen Shot 2017-07-27 at 9.33.14 AM

Film deposition

Creating stacked memory cells starts with depositing alternating layers of thin films. Unlike planar NAND, where cell pitch is defined by lithography, pitch in 3D NAND is determined by the film thickness. As such, precise control of layer-to-layer deposition uniformity is extremely important. Currently, commercial 3D NAND products in high-volume manufacturing have layers ranging from 32 to 48 pairs, while next-generation products with more than 60 pairs are now beginning high-volume ramps.

Critical requirements for depositing stacked films are the stress and uniformity of the individual layers within the overall stack. These requirements become more stringent and increasingly more challenging to meet as the number of layers grows. Wafer bow and local film stress (FIGURE 2) directly impact the ability to achieve precise lithog- raphy overlay. Film thickness and repeatability affects the active area of cell and consistency of the litho/etch performance. As a result, both film stress control and excellent uniformity are critical to wafer yields. To address these concerns, careful management of stress by tuning deposition conditions and optimizing integration is needed not only for the film stack deposition, but also throughout 3D NAND manufacturing.

Screen Shot 2017-07-27 at 9.33.22 AM

High aspect ratio channel etching

HAR channel etch is the most critical and challenging step in 3D NAND because it is key to achieving uniform hole size through multiple layers to define the channel of memory cells. More than a trillion holes must be etched simultaneously and uniformly on every wafer, each with an aspect ratio of more than 40:1. For comparison, the highest aspect ratio structure that is etched in planar NAND is less than 15:1.

Deep etch on these multilayer stacks can push the limits of physics to achieve uniformity from top to bottom. As shown in FIGURE 3, the high aspect ratio of this etch leads to transport limitation challenges that can generate a range of problems. These include incomplete etch wherein some holes don’t reach the bottom, bowing, twisting, and CD variation between the top and bottom of the stack. Such defects can lead to shorts, interference between neighboring memory strings, and other perfor- mance issues. Solving these HAR-related transport issues requires precise control of high-energy ions during the etch process. Technologies that help deliver this capability include a symmetric chamber design for intrinsic uniformity, a proprietary high ion energy source with advanced plasma confinement and modulation, and orthogonal (independent) uniformity tuning knobs, such as multi-zone gas delivery and temperature control to achieve required uniformity across the wafer.

Screen Shot 2017-07-27 at 9.33.33 AM Screen Shot 2017-07-27 at 9.33.40 AM

As the 3D NAND roadmap adds more layers to achieve higher bit density, channel hole etching becomes increasingly challenging due to higher aspect ratios. Managing the fundamental trade-offs among profile, selectivity, and CD requires continuous equipment innovation, not only to deliver HAR etching capabilities for more than 100 pairs, but also to do this at the productivity needed for volume manufacturing.

Wordline tungsten metal fill

For replacement-gate 3D NAND schemes, wordline tungsten fill provides the critical conductive links between individual memory cells within layers. This process is particularly challenging because of the need to achieve void-free fill of complex, narrow, lateral structures with minimal stress on the memory stack.

Due to the structural complexity, atomic-scale engineering is required for wordline fill. Traditional CVD tungsten films have inherent characteristics that limit capability for 3D NAND wordline fill. High tensile stress in CVD tungsten can lead to wafer bow, and fluorine in the process has been known to diffuse into adjacent layers where it can create yield-limiting defects. In addition, resistivity limits scaling: making each layer thinner would allow for more layers (more storage bits), but would also make wordline resistance too high. One approach to address these concerns is the use of a low-fluorine tungsten (LFW) ALD process. This has the ability to provide a smoother morphology that conforms better with the surface in each fill layer, thereby minimizing stress induced by the deposition process. Stress reduction by more than an order of magnitude has been demonstrated with LFW ALD technology. This approach has also been shown to lower fluorine content by up to 100x (FIGURE 4) and reduce resistivity by over 30% compared to conventional CVD tungsten.

Screen Shot 2017-07-27 at 9.36.22 AM

 

Staircase etch

The staircase etch step creates the individual contact pads for each memory cell within the layers. A highly controlled etch process is used to define the size of each contact pad. To reduce the cost associated with lithography and improve productivity, repeated vertical etch and lateral trim etch processes are adopted to form the staircase instead of using numerous lithography steps. For each lithography pass, multiple staircase levels can be created by etching and trimming, as shown in FIGURE 5. The number of stairs that can be formed by this process is determined by the lateral-to-vertical (L/V) etch rate. Improving L/V etch selec- tivity can reduce the number of lithography steps needed.

Screen Shot 2017-07-27 at 9.33.50 AM

Extreme accuracy is required to maintain the stair CD, thus avoiding misaligned contacts. If the CD for a pad is off by a few percent, that error will propagate through subsequent pads defined within the same lithography pass. Current technology can deliver uniform and repeatable stair CD precision of 1% (3-sigma) after more than five L/V trim processes. This is a critical factor for achieving high productivity and being able to scale to higher stacks with more layers economically.

Summary

Traditional planar scaling to increase NAND density is approaching its limits due to lithography and performance challenges. As 3D NAND becomes the mainstream technology, its challenging roadmap poses opportunitiesforcontinuedinnovation. Stress management throughout wafer processing is crucial, and significant innovations in both deposition and etch processes are essential in forming the HAR features that dominate 3D NAND architectures. Finally, reducing variability in every critical step is a must to meet performance, yield, reliability, and cost requirements.

3D NAND completely changes the scaling paradigm by going vertical. No longer limited by lithography capabilities, 3D NAND can achieve greater levels of integrity, perfor- mance, and reliability – while building vertically for higher bit density and a lower cost-per- bit – through relying on advances in deposition and etch processes.

References

1. Y.W. Park, Flash Memory, IEDM short course, 2015