Category Archives: 3D Integration

This week, Future Market Insights (FMI) releases its latest report on the semiconductor assembly and testing services market. The global market for semiconductor assembly and testing services (SATS) will continue to be primarily driven by the surging demand for high-end packaging solutions. The global semiconductor assembly and testing services market will possibly reach a value of US$ 24.72 Bn by 2016 end. The market will gain continued traction communication vertical. Asia Pacific will remain the most attractive market for semiconductor assembly and testing services.

Increased demand for outsourced SATS or OSAT services will be a remarkable trend favoring the growth of the global SATS market. With the rapidly thriving consumer electronics industry, the demand for connectivity and mobility is also on the rise, which is foreseen to be an important booster to the demand for connected devices, eventually fostering the semiconductor assembly and testing services market. Rising adoption of multimedia technology devices is identified to be another factor bolstering the demand for SATS. A number of SATS providers offer value added services, such as in-house testing and high-end packaging, which will remain an important driver to the market growth. Several integrated design manufacturers are increasingly prioritising semiconductor assembly and testing services as a time-efficient alternative.

Moreover, rising demand for automotive safety systems is expected to be a strong factor providing impetus to the SATS market. Due to higher costs associated with larger wafer fabrication factory, manufacturers are largely inclined toward outsourcing semiconductor assembly and testing services to third party providers. Leading fabless companies will continue to outsource everything, including testing, assembly, and packaging of semiconductor. This will favour the market growth. Rising adoption of automotive electronics and promising emergence of next-generation electronic vehicles are likely to boost the market growth further.

However, high capital costs related to high-end packaging solution provision, volatility of prices in the market, and uncertainty in exchange rates will continue to pose a negative impact on the global SATS market growth.

By service, assembly and packaging segment will continue to be dominant over the testing segment, prominently driven by the rising demand for consumer electronics and advanced packaging solutions.

On the basis of packaging solution, the copper wire and gold wire bonding segment is expected to retain the leading segment position with over 53% market value share, accounting for the revenues of around US$ 13.24 Bn in 2016. However, the growth of this segment is likely to witness sluggish growth post-2016. The flip chip segment is foreseen to exhibit a robust growth rate, contributing around 18% share to the entire market revenues in 2016. This segment will witness an impressive Y-o-Y growth of 8.6% in 2017 over 2016.

Based on application, communication segment is projected to remain dominant, whereas consumer electronics application segment is likely to register a stellar growth rate in terms of Y-o-Y.

By regional analysis, the global semiconductor assembly and testing services market is segmented into four key markets viz. North AmericaEuropeAsia Pacific, and Middle East and Africa. APAC will remain the dominant market with over 84% market value share in 2016 but is anticipated to witness a consistent Y-o-Y decline post-2016. On the other side, North America is likely to see a consistent gain in the Y-o-Y growth post-2016. This region will account for over 31% share of the market in 2016, in terms of revenues.

Some of the key companies operating in the global marketplace for semiconductor assembly and testing services (SATS), include Amkor Technologies Inc., ASE Group, Silicon Precision Industries Co. Ltd., STATS ChipPAC Ltd. (JCET), Psi Technologies Inc. (IMI), Powertech Technology Inc., Global Foundries, CORWIL Technology corporation, and Chipbond Technology Corporation.

Long-term Outlook: By 2021 end, the global semiconductor assembly and testing services (SATS) market is expected to account for US$ 39.05 Bn in terms of revenues.

The pure-play foundry market is forecast to play an increasingly stronger role in the worldwide IC market during the next five years, according to IC Insights’ new 2017 McClean Report, which becomes available later this month.  The 20th anniversary edition of The McClean Report forecasts that the 2016-2021 pure-play IC foundry market will increase by a compound annual growth rate (CAGR) of 7.6%; growing from $50.0 billion in 2016 to $72.1 billion in 2021.

IC foundries have two main customers—fabless IC companies (e.g., Qualcomm, Nvidia, Xilinx, AMD, etc.) and IDMs (e.g., ON, ST, TI, Toshiba, etc.).  The success of fabless IC companies as well as the movement to more outsourcing by existing IDMs has fueled strong growth in IC foundry sales since 1998.  Moreover, an increasing number of mid-size companies are ditching their fabs in favor of the fabless business model.  A few examples include Fujitsu, IDT, LSI Corp. (now part of Avago), Avago (now Broadcom Ltd.), and AMD, which have all become fabless IC suppliers over the past few years.

Figure 1 shows the ranking of the top 10 pure-play foundries in 2016.  In 2016, the “Big 4” pure-play foundries (i.e., TSMC, GlobalFoundries, UMC, and SMIC) held an imposing 85% share of the total worldwide pure-play IC foundry market.  As shown, TSMC held a 59% marketshare in 2016, the same as in 2015, and its sales increased by $2.9 billion last year, more than double the $1.4 billion increase it logged in 2015.  GlobalFoundries, UMC, and SMIC’s combined share was 26% in 2016, the same as in 2015.

The three top-10 pure-play foundry companies that displayed the highest growth rates in 2016 were X Fab (54%), which specializes in analog, mixed-signal, and high-voltage devices and acquired pure-play foundry Altis in 3Q16 to move into the top 10 for the first time, China-based SMIC (31%), and analog and mixed-signal specialist foundry TowerJazz (30%).  In contrast to X-Fab’s 2016 growth spurt, TowerJazz and SMIC have been on a very strong growth curve over the past few years.  TowerJazz went from $505 million in sales in 2013 to $1,249 million in 2016 (a 35% CAGR) while SMIC more than doubled its revenue from 2011 ($1,220 million) to 2016 ($2,921 million) and registered a 19% CAGR over this five-year period.

Seven of the top 10 pure-play foundries listed in Figure 1 are based in the Asia-Pacific region.  Europe-headquartered specialty foundry X-Fab, Israel-based TowerJazz, and U.S.-headquartered GlobalFoundries are the only non-Asia-Pacific companies in the top 10 group.

Figure 1

Figure 1

Further trends and analysis relating to the IC market are covered in the 400-plus page 2017 edition of The McClean Report.

Sales of memory ICs are expected to show the strongest growth rate among major integrated circuit market categories during the next five years, according to IC Insights’ new 2017 McClean Report, which becomes available this month.  The 20th anniversary edition of The McClean Report forecasts that revenues for memory products—including DRAMs and NAND flash ICs—will increase by a compound annual growth rate (CAGR) of 7.3% to $109.9 billion in 2021 from $77.3 billion in 2016.

The 2017 McClean Report separates the total IC market into four major product categories: analog, logic, memory, and microcomponents.  Figure 1 shows the forecasted 2016-2021 CAGRs of the four major IC product categories compared to the projected total IC market annual growth rate of 4.9% during the five-year period.  As shown, the memory IC category is forecast to show the strongest growth rate through 2021 while the weakest increase is expected to occur in the logic category, which includes general-purpose logic, ASICs, field-programmable logic, display drivers, and application-specific standard products.

Figure 1

Figure 1

The strong memory CAGR is driven by surging low-power memory requirements for DRAM and NAND flash in portable wireless devices like smartphones and by growing demand for solid-state drives (SSD) used in big-data storage applications and increasingly in notebook computers.  Moreover, year-over-year DRAM bit volume growth is expected to increase throughout the forecast to support virtualization, graphics, and other complex, real-time workload applications.

Analog ICs, the second-fastest growing segment, are a necessity within both very advanced and low-budget systems. Power management analog devices are critical for helping extend battery life in portable and wireless systems and have demonstrated strong market growth in recent years.  In 2017, the signal conversion market is forecast to be the fastest growing analog IC category, and the second-fastest growing IC product category overall, trailing only the market growth of 32-bit MCUs.

Total microcomponent sales have cooled significantly.  Fortunately, marginal gains in the cellphone MPU market and strong gains in the 32-bit MCU market have helped offset weakness of standard PC and tablet microprocessor sales.

The McClean Report includes sales history and forecast information for each IC product within these four large product categories for the 2014-2021 time period.  Included are market, unit, average selling price (ASP), and 2016-2021 compound average growth rate (CAGR) for all IC categories. Further trends and analysis relating to the IC market are covered in the 400-plus page 2017 edition of The McClean Report.

ON Semiconductor (Nasdaq: ON) has announced a collaboration with Hexius Semiconductor to qualify several of their analog intellectual property (IP) blocks in its popular ONC18 0.18 µm CMOS process. The eight initial designs resulting from this collaboration include a variety of analog-to-digital converters, digital-to-analog converters, voltage references and current references. There is provision, if needed, for the designs to be custom-tailored to match particular application demands. Further data converter and PLL designs are currently being developed for introduction later this year.

ON Semiconductor’s ONC18 process relies on a 0.18 micrometer (µm) CMOS architecture and due to its high voltage capabilities is extremely well suited to automotive, industrial, military and medical deployment. By having access to an expansive portfolio of qualified IP that supports this process, customers will be able to benefit from ASIC implementations that are highly optimized for their specific requirements, without needing to allocate too much of their own engineering resources to the task. As a result, much quicker design cycles, reduced risk of re-spins and lower associated costs can all be realized.

“The mixed signal ASIC market continues to grow as systems need to utilize the real-word data that is captured by sensors and user interface,” states Rocke Acree, Director of the Custom Foundry business unit at ON Semiconductor. “OEMs are looking to integrate more effective proprietary designs, rather than relying on standard off-the-shelf components. Through this, performance levels can be enhanced, board space saved and unit costs significantly lowered. By working together, ON Semiconductor and Hexius Semiconductor are delivering qualified analog IP needed to facilitate this migration and enabling a new era of mixed signal design.”

“Through the combination of the respective skill sets that our two companies possess, we are in a position to supply the industry with qualified analog IP macrocells on superior semiconductor processes that will deliver clear performance and logistical advantages. This will allow OEMs to respond more quickly to market opportunities that they have identified by taking products from the concept phase right through to full commercial production in the shortest possible time,” adds Chris Cavanagh, CEO at Hexius Semiconductor.

Imagine a dim light which is insufficiently bright enough to illuminate a room. An amplifier for such a light would increase the brightness by increasing the number of photons emitted. Photonics researchers have created such a high gain optical amplifier that is compact enough to be placed on a chip. The developed amplifier, when used within an optical interconnect such as a transceiver or fiber optic network, would help to efficiently increase the power of the transmitted light before it is completely depleted through optical losses.

Singapore University of Technology and Design Assistant Professor Dawn Tan and the newly developed amplifier on a chip. Credit:  Courtesy of Dr. Dawn Tan

Singapore University of Technology and Design Assistant Professor Dawn Tan and the newly developed amplifier on a chip. Credit: Courtesy of Dr. Dawn Tan

Besides having the potential to replace bulky, expensive amplifiers used today for the study of attosecond science and ultrafast optical information processing, the newly developed nanoscale-amplifier also provides a critical element to the optical interconnects toolkit, potentially providing regenerative amplification in short to long range interconnects. This work was a collaborative effort between researchers at the Singapore University of Technology and Design (SUTD), A*STAR Data Storage Institute and the Massachusetts Institute of Technology. Details appeared in Nature Communications on January 4th 2017.

“We have developed an optical amplifier which is able to amplify light by 17,000 times at the telecommunications wavelength,” said Assistant Professor Dawn Tan at SUTD who led the development of the amplifier. “We use a proprietary platform called ultra-silicon-rich nitride, with a material composition of seven parts silicon, three parts nitrogen, with the large nonlinearity and photon efficiency needed for high gain amplification, through the efficient transfer of photons from a pump to the signal. To give a sense of the scale, a conventional optical parametric amplifier costs several hundred thousand dollars, and occupies an entire optical table, while the newly developed amplifier is much smaller than a paper clip, and costs a fraction of the former.”

Providing high gain on such a small footprint could enable new opportunities in low cost broadband spectroscopy, precision manufacturing and hyperspectral imaging. The device’s efficiency is also revealed through cascaded four wave mixing, which is a higher order mixing of the amplified and converted photons. This phenomenon also allows the amplifier to operate as a tunable broadband light source, enabling cheaper and more efficient spectroscopic sensing and molecular fingerprinting than what is available today.

“The inefficiencies in highly nonlinear photonic devices are overcome here, by photonic device engineering for maximum nonlinearity, while still maintaining a sufficiently large bandgap to eliminate two-photon absorption at the telecommunications wavelength. We believe this is one of the highest gains demonstrated at the telecommunications wavelength to date on a CMOS chip” said Prof Tan.

Achieving ultra-large amplification while maintaining high compactness was possible because the researchers managed to design and implement an amplifier which operates simultaneously with a high nonlinearity and photon efficiency. In other platforms which are compatible with processes used in the electronics industry today, either the nonlinearity or photon efficiency is low.

“The results demonstrate the ultra-silicon-rich nitride platform to be extremely promising for highly efficient nonlinear optics applications, particularly in the field of CMOS photonics leveraging existing electronics infrastructure,” says Dr. Doris Ng, Scientist III at the A*STAR Data Storage Institute.

Online paper submissions are now open for the 2017 Symposia on VLSI Technology and Circuits, to be held at the Rihga Royal Hotel in Kyoto, Japan from June 5 – 8, 2017. In a departure from previous years, both Symposia (VLSI Technology and VLSI Circuits) will be held on a fully overlapping schedule from June 6 – 8, preceded by Short Courses on June 5.

The deadline for paper submissions to both Symposia is January 23, 2017. Complete details for paper submission can be found online at: http://vlsisymposium.org/authors.html

For the past 30 years, the combined annual Symposia on VLSI Technology and Circuits have provided an opportunity for the world’s top device technologists, circuit and system designers to engage in an open exchange of leading edge ideas at the world’s premier mid-year conference for microelectronics technology. Held together since 1987, the Symposia on VLSI Technology and Circuits have alternated each year between sites in the US and Japan, enabling attendees to learn about new directions in the development of VLSI technology & circuit design through the industry’s leading research and development presentations.

The comprehensive technical programs at the two Symposia are augmented with short courses, invited speakers and several evening panel sessions. Since 2012, the Symposia have presented joint focus sessions that include invited and contributed papers on topics of mutual interest to both technology and circuit attendees. A single registration enables participants to attend both Symposia.

Papers sought for “big integration”
This year’s Symposia theme is “Harmonious Integration Toward Next Dimensions.” Authors are encouraged to submit papers that showcase innovations that extend beyond single ICs and into the module level, with co-optimization of device technology and circuit/system design, including focus areas in the Internet of Things (IoT), industrial electronics, ‘big data’ management, artificial intelligence (AI), biomedical applications, virtual reality (VR) / augmented reality (AR), robotics and smart cars. These topics will be featured in focus sessions as part of the program.

The Symposium on VLSI Technology seeks technical innovation and advances in all aspects of IC technology, as well as the emerging IoT (Internet of Things) field, including:

  • IoT systems & technologies, including ultra-low power, heterogeneous integration, wearable devices, sensors, connectivity, power management, digital/analog, microcontrollers and application processors
  • Stand-alone & embedded memories, including technology & reliability for DRAM, SRAM, (3D-)NAND, MRAM, PCRAM, ReRAM and emerging memory technologies
  • CMOS Technology, microprocessors & SoCs, including scaling, VLSI manufacturing concepts and yield optimization
  • RF / analog / digital technologies for mixed-signal SoC, RF front end; analog, mixed-signal I/O, high voltage, imaging, MEMS, integrated sensors
  • Process & material technologies, including advanced transistor process and architecture, modeling and reliability; alternate channel; advanced lithography, high-density patterning; SOI and III-V technologies, photonics, local interconnects and Cu/optical interconnect scaling
  • Packaging technologies & System-in-Package (SiP), including through-silicon vias (TSVs), power & thermal management, inter-chip communication, 3D-system integration, as well as yield & test issues
  • Photonics Technology & ‘Beyond CMOS’ devices

The Symposium on VLSI Circuits seeks original papers showcasing technical innovations and advances in the following areas:

  • Digital circuits, processors and architectures, including circuits and techniques for standalone and embedded processors
  • Memory circuits, architectures & interfaces for volatile and non-volatile memories, including emerging memory technologies
  • Frequency generation and clock circuits for high-speed digital and mixed-signal applications
  • Analog and mixed-signal circuits, including amplifiers, filters and data converters
  • Wireline receivers & transmitters, including circuits for inter-chip and long-reach applications
  • Wireless receivers & transmitters, including circuits for WAN, LAN, PAN, BAN, inter-chip and mm-wave applications
  • Power conversion circuits, including battery management, voltage regulation, and energy harvesting
  • Imagers, displays, sensors, VLSI circuits & systems for biomedical, healthcare and wearable applications

Joint Technology & Circuits focus sessions feature invited and contributed papers highlighting innovations and advances in the following areas of joint interest:

  • IoT /ULP (Internet of Things / Ultra Low Power) devices: Advanced CMOS processes for ULP, design enablement, design for manufacturing, process/design co-optimization, on-die monitoring of variability and reliability
  • New Computing: Artificial intelligence, ‘beyond von Neumann’ computing, machine learning, neuromorphic & in-memory / in-sensor computing
  • 2D MOSFETs / New concepts for channel & gate materials: Graphene, MoS2, α-Si / poly-Si or flexible organic materials for ‘More than Moore’ devices
  • Emerging memory technology & design: SRAM, DRAM, Flash, PCRAM, RRAM, and MRAM, Memristor, 3D Xpoint memory technologies
  • Design in scaled technologies: scaling of digital, memory, analog and mixed-signal circuits in advanced CMOS processes
  • 3D & heterogeneous integration: power and thermal management; inter-chip communications, SIP architectures and applications

Best Student Paper Award
Awards for best student paper at each Symposia are chosen based on the quality of the papers and presentations. The recipients will receive a monetary award, travel cost support and a certificate at the opening session of the 2018 Symposium. For a paper to be reviewed for this award, the author must be enrolled as a full-time student at the time of submission, must be the lead author and presenter of the paper, and must indicate on the web submission form that the paper is a student paper.

Sponsoring Organizations
The Symposium on VLSI Technology is sponsored by the IEEE Electron Devices Society and the Japan Society of Applied Physics, in cooperation with the IEEE Solid State Circuits Society.

The Symposium on VLSI Circuits is sponsored by the IEEE Solid State Circuits Society and the Japan Society of Applied Physics, in cooperation with the Institute of Electronics, Information and Communication Engineers and the IEEE Electron Devices Society.

Further Information, Registration and Official Call for Papers
Visit: http://www.vlsisymposium.org.

This article originally appeared on SemiMD.com and was featured in the December 2016 issue of Solid State Technology.

By David Lammers, Contributing Editor

When analyst Linley Gwennap is asked about the chances that fully-depleted silicon-on-insulator (FD-SOI) technology will make it in the marketplace, he gives a short history lesson.

First, he makes clear that the discussion is not about “the older SOI,” – the partially depleted SOI that required designers to deal with the so-called “kink effect.” The FD-SOI being offered by STMicroelectronics and Samsung at 28nm design rules, and by GlobalFoundries at 22nm and 12nm, is a different animal: a fully depleted channel, new IP libraries, and no kink effect.

Bulk planar CMOS transistor scaling came to an end at 28nm, and leading-edge companies such as Intel, TSMC, Samsung, and GlobalFoundries moved into the finFET realm for performance-driven products, said Gwennap, founder of The Linley Group (Mountain View, Calif.) and publisher of The Microprocessor Report, said,

While FD-SOI at the 28nm node was offered by STMicrelectronics, with Samsung coming in as a second source, Gwennap said 28nm FD-SOI was not differentiated enough from 28nm bulk CMOS to justify the extra design and wafer costs. “When STMicro came out with 28 FD, it was more expensive than bulk CMOS, so the value proposition was not that great.”

NXP uses 28nm FD-SOI for its iMX 7 and iMX 8 processors, but relatively few other companies did 28nm FD-SOI designs. That may change as 22nm FD-SOI offers a boost in transistor density, and a roadmap to tighter design rules.

“For planar CMOS, Moore’s Law came to a dead end at 28nm. Some companies have looked at finFETs and decided that the cost barrier is just too high. They don’t have anywhere to go; for a few years now those companies have been at 28nm, they can’t justify the move on to finFETs, and they need to figure out how they can offer something new to their customers. For those companies, taking a risk on FD-SOI is starting to look like a good idea,” he said.

A cautious view 

Joanne Itow, foundry analyst at Semico Research (Phoenix), also has been observing the ups and downs of SOI technology over the last two decades. The end of the early heyday, marked by PD-SOI-based products from IBM, Advanced Micro Devices, Freescale Semiconductor, and several game system vendors, has led Itow to take a cautious, Show-Me attitude.

“The SOI proponents always said, ‘this is the breakout node,’ but then it didn’t happen. Now, they are saying the Fmax has better results than finFETs, and while we do see some promising results, I’m not sure everybody knows what to do with it. And there may be bottlenecks,” such as the design tools and IP cores.

Itow said she has talked to more companies that are looking at FD-SOI, and some of them have teams designing products. “So we are seeing more serious activity than before,” Itow said. “I don’t see it being the main Qualcomm process for high-volume products like the applications processors in smartphones. But I do see it being looked at for IoT applications that will come on line in a couple of years. And these things always seem to take longer than you think,” she said.

Sony Corp. has publicly discussed a GPS IC based on 28nm FD-SOI that is being deployed in a smartwatch sold by Huami, a Chinese brand, which is touting the long battery life of the watch when the GPS function is turned on.

GlobalFoundries claims it has more than 50 companies in various stages of development on its 22FDX process, which enters risk production early next year, and the company plans a 12nm FDX offering in several years.

IP libraries put together

The availability of design libraries – both foundation IP and complex cores – is an issue facing FD-SOI. Gwennap said GlobalFoundries has worked with EDA partners, and invested in an IP development company, Invecas, to develop an IP library for its FDX technology. “Even though GlobalFoundries is basically starting from scratch in terms of putting together an IP library, it doesn’t take that long to put together the basic IP, such as the interface cells, that their customers need.

“There is definitely going to be an unusual thing that probably will not be in the existing library, something that either GlobalFoundries or the customers will have to put together. Over time, I believe that the IP portfolio will get built out,” Gwennap said.

The salaries paid to design engineers in Asia tend to be less than half of what U.S.-based designers are paid, he noted. That may open up companies “with a lower cost engineering team” in India, China, Taiwan, and elsewhere to “go off in a different direction” and experiment with FD-SOI, Gwennap said.

Philippe Flatresses, a design architect at STMicro, said with the existing FDSOI ecosystem it is possible to design a complete SoC, including processor cores from ARM Ltd., high speed interfaces, USB, MIPI, memory controllers, and other IP from third-party providers including Synopsys and Cadence. Looking at the FD-SOI roadmap, several technology derivatives are under development to address the RF, ultra-low voltage, and other markets. Flatresses said there is a need to extend the IP ecosystem in those areas.

Wafer costs not a big factor

There was a time when the approximately $500 cost for an SOI wafer from Soitec (Grenoble, France) tipped the scales away from SOI technology for some cost-sensitive applications. Gwennap said when a fully processed 28nm planar CMOS wafer cost about $3,000 from a major foundry, that $500 SOI wafer cost presented a stumbling block to some companies considering FD-SOI.

Now, however, a fully-processed finFET wafer costs $7,000 or more from the major foundries, Gwennap said, and the cost of the SOI wafer is a much smaller fraction of the total cost equation. When companies compare planar FD-SOI to finFETs, that $500 wafer cost, Gwennap said, “just isn’t as important as it used to be. And some of the other advantages in terms of cost savings or power savings are pretty attractive in markets where cost is important, such as consumer and IoT products. They present a good chance to get some key design wins.”

Soitec claims it can ramp up to 1.5 million FD-SOI wafers a year with its existing facility in 18 months, and has the ability to expand to 3 million wafers if market demand expands.

Jamie Schaeffer, the FDX program manager at GlobalFoundries, acknowledges that the SOI wafers are three to four times more expensive than bulk silicon wafers. Schaeffer said a more important cost factor is in the mask set. A 22FDX chip with eight metal layers can be constructed with “just 39 mask layers, compared with 60 for a finFET design at comparable performance levels.” And no double patterning is required for the 22FDX transistors.

Technology advantages claimed

Soitec senior fellow Bich-Yen Nguyen, who spent much of her career at Freescale Semiconductor in technology development, claims several technical advantages for FD-SOI.

FD-SOI has a high transconductance-to-drain current ratio, is superior in terms of the short channel effect, and has a lower fringing and effective capacitance and lower gate resistance, due partly to a gate-first process approach to the high-k/metal gate steps, Nguyen said.

Back and forward biasing is another unique feature of FD-SOI. “When you apply body-bias, the fT and fmax curves shift to a lower Vt.  This is an additional benefit allowing the RF designer to achieve higher fT and fmax at much lower gate voltage (Vg) over a wider Vg range.  That is a huge benefit for the RF designer,” she said. Figure 1 illustrates the unique benefit of back-bias.

“To get the full benefit of body bias for power savings or performance improvement, the design teams must consider this feature from the very beginning of product development,” she said. While biasing does not require specific EDA tools, and can be achieve with an extended library characterization, design architects must define the best corners for body bias in order to gain in performance and power. And design teams must implement “the right set of IPs to manage body biasing,” such as a BB generator, BB monitors, and during testing, a trimming methodology.

Nguyen acknowledged that finFETs have drive-current advantages. But compared with bulk CMOS, FD-SOI has superior electrostatics, which enables scaling of analog/RF devices while maintaining a high transistor gain. And drive current increases as gate length is scaled, she said.

For 14/16 nm finFETs, Nguyen said the gate length is in the 25-30 nm range. The 22FDX transistors have a gate length in the 20nm range. “The very short gate length results in a small gate capacitance, and total lower gate resistance,” she said.

For fringing capacitance, the most conservative number is that 22nm FD-SOI is 30 percent lower than leading finFETs, though she said “finFETs have made a lot of progress in this area.”

Analog advantages

It is in the analog and RF areas that FD-SOI offers the most significant advantages, Nguyen said. The fT and fMAX of 350 and 300 GHz, respectively, have been demonstrated by GlobalFoundries for its 22nm FD-SOI technology. For analog devices, she claimed that FD-SOI offers better transistor mismatch, high intrinsic device gain (Gm/Gds ratio), low noise, and flexibility in Vtuning. Figure 2 shows how 22FDX outperforms finFETs for fT/fMax.

“FDSOI is the only device architecture that meets all those requirements. Bulk planar CMOS suffers from large transistor mismatch due to random dopant fluctuation and low device gain due to poor electrostatics. FinFET technology improves on electrostatics but it lacks the back bias capability.”

The undoped channel takes away the random doping effect of a partially depleted (doped) channel, reducing variation by 50-60 percent.

Analog designers using FD-SOI, she said, have “the ability to tune the Vt by back-bias to compensate for process mismatch or drift, and to offer virtually any Vdesired. Near-zero Vt can also be achieved in FD-SOI, which enables low voltage analog design for low power consumption applications.”

“If you believe the future is about mobility, about more communications and low power consumption and cost sensitive IoT chips where analog and RF is about 50 percent of the chip, then FD-SOI has a good future.

“No single solution can fit all. The key is to build up the ecosystem, and with time, we are pushing that,” she said.

This article originally appeared on SemiMD.com and was featured in the December 2016 issue of Solid State Technology. 

By Ed Korczynski, Sr. Technical Editor

Researchers from IBM and Globalfoundries will report on the first use of “air-gaps” as part of the dielectric insulation around active gates of “10nm-node” finFETs at the upcoming International Electron Devices Meeting (IEDM) of the IEEE (ieee-iedm.org). Happening in San Francisco in early December, IEDM 2016 will again provide a forum for the world’s leading R&D teams to show off their latest-greatest devices, including 7nm-node finFETs by IBM/Globalfoundries/Samsung and by TSMC. Air-gaps reduce the dielectric capacitance that slows down ICs, so their integration into transistor structures leads to faster logic chips.

History of Airgaps – ILD and IPD

As this editor recently covered at SemiMD, in 1998, Ben Shieh—then a researcher at Stanford University and now a foundry interface for Apple Corp.—first published (Shieh, Saraswat & McVittie. IEEE Electron Dev. Lett., January 1998) on the use of controlled pitch design combined with CVD dielectrics to form “pinched-off keyholes” in cross-sections of inter-layer dielectrics (ILD).

In 2007, IBM researchers showed a way to use sacrificial dielectric layers as part of a subtractive process that allows air-gaps to be integrated into any existing dielectric structure. In an interview with this editor at that time, IBM Fellow Dan Edelstein explained, “we use lithography to etch a narrow channel down so it will cap off, then deliberated damage the dielectric and etch so it looks like a balloon. We get a big gap with a drop in capacitance and then a small slot that gets pinched off.

Intel presented on their integration of air-gaps into on-chip interconnects at IITC in 2010 but delayed use until the company’s 14nm-node reached production in 2014. 2D-NAND fabs have been using air-gaps as part of the inter-poly dielectric (IPD) for many years, so there is precedent for integration near the gate-stack.

Airgaps for finFETs

Now researchers from IBM and Globalfoundries will report in (IEDM Paper #17.1, “Air Spacer for 10nm FinFET CMOS and Beyond,” K. Cheng et al) on the first air-gaps used at the transistor level in logic. Figure 1 shows that for these “10nm-node” finFETs the dielectric spacing—including the air-gap and both sides of the dielectric liner—is about 10 nm. The liner needs to be ~2nm thin so that ~1nm of ultra-low-k sacrificial dielectric remains on either side of the ~5nm air-gap.

These air-gaps reduced capacitance at the transistor level by as much as 25%, and in a ring oscillator test circuit by as much as 15%. The researchers say a partial integration scheme—where the air-gaps are formed only above the tops of fin— minimizes damage to the FinFET, as does the high-selectivity etching process used to fabricate them.

Figure 2 shows a cross-section transmission electron micrograph (TEM) of what can go wrong with etch-back air-gaps when all of the processes are not properly controlled. Because there are inherent process:design interactions needed to form repeatable air-gaps of desired shapes, this integration scheme should be extendable “beyond” the “10-nm node” to finFETs formed at tighter pitches. However, it seems likely that “5nm-node” logic FETs will use arrays of horizontal silicon nano-wires (NW), for which more complex air-gap integration schemes would seem to be needed.

—E.K.

GLOBALFOUNDRIES today announced the addition of eight new partners to its growing FDXcelerator Program, including Advanced Semiconductor Engineering, Inc. (ASE Group), Amkor TechnologyInfosysMentor GraphicsRambusSaskenSonics, and QuickLogic. These new partners join Synopsys, Cadence, INVECAS, VeriSilicon, CEA Leti, Dreamchip, and Encore Semi to provide a suite of services that will enable GLOBALFOUNDRIES customers to rapidly implement 22FDX system-on-chip (SoC) designs in low-power applications spanning Internet-of-Things (IoT), mobile, RF connectivity, and networking markets.

The FDXcelerator Partner Program builds upon GLOBALFOUNDRIES’ 22FDX and 12FDX technologies, an alternative to FinFET-based technologies for chips that require performance on demand and energy efficiency at the lowest solution cost. GLOBALFOUNDRIES’ 22FDX platform provides a lower-cost migration path from bulk nodes such as 40nm and 28nm, which allows customers to design differentiated, intelligent, and fully-integrated system solutions.

FDXcelerator partners play a critical role by providing a set of specific solutions and resources that help increase design productivity on FDX technology and reduce time-to-market for its customers. GLOBALFOUNDRIES works closely with program partners to help customers create high-performance 22FDX designs while minimizing development costs through access to a broad set of quality offerings, specific to 22FDX technology. The partner ecosystem allows GLOBALFOUNDRIES to accelerate its traction in the market and more effectively offer its FDX products and services to a broader range of customers.

The partner program extends the reach of the FD-SOI ecosystem, creating an open framework that allows selected partners to integrate their products or services into a validated, plug-and-play catalog of design solutions. The program encompasses FDX-tailored solutions and services, including:

  • EDA tools that leverage differentiated FD-SOI body-bias features, built into industry-leading design flows;
  • IP design elements and complete libraries, including foundational IP, interfaces and complex IP to enable foundry customers to start designs quickly with validated IP elements;
  • ASIC platforms for a complete 22FDX ASIC offering;
  • Reference solutions and system-level expertise in emerging application areas to speed time-to-market;
  • Outsourced assembly and test (OSAT) solution featuring extensive manufacturing capacity to enable state-of-the art SoC delivery, and;
  • Design consultation and other services dedicated to FDX technology.

“As the FDXcelerator program continues to expand, partners play a critical role in helping to serve our growing number of customers and extend the reach of our FD-SOI ecosystem by providing innovative FDX-tailored solutions and services,” said Alain Mutricy, senior vice president of product management at GLOBALFOUNDRIES. “These new partners will help drive deeper engagement and enhance technology collaboration, including tighter interlock around quality, qualification and development methodology, enabling us to deliver advanced 22FDX SoC solutions.”

GLOBALFOUNDRIES is focused on building strong ecosystem partnerships with industry leaders. With the FDXcelerator program, GLOBALFOUNDRIES’ partners and customers can now benefit from a greater availability of resources to take advantage of the broad adoption and accelerating growth of the FDX market.

North America-based manufacturers of semiconductor equipment posted $1.55 billion in orders worldwide in November 2016 (three-month average basis) and a book-to-bill ratio of 0.96, according to the November Equipment Market Data Subscription (EMDS) Book-to-Bill Report published today by SEMI.  A book-to-bill of 0.96 means that $96 worth of orders were received for every $100 of product billed for the month.

SEMI reports that the three-month average of worldwide bookings in November 2016 was $1.55 billion. The bookings figure is 4.0 percent higher than the final October 2016 level of $1.49 billion, and is 25.1 percent higher than the November 2015 order level of $1.24 billion.

The three-month average of worldwide billings in November 2016 was $1.61 billion. The billings figure is 1.1 percent lower than the final October 2016 level of $1.63 billion, and is 25.2 percent higher than the November 2015 billings level of $1.29 billion.

“As 2016 comes towards a close, equipment spending is stronger than expected at the start of the year,” said Dan Tracy, senior director, SEMI. “Spending has been driven by 3D NAND, leading-edge foundry, and advanced packaging investments, and these segments are key for the expected spending growth in 2017.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

June 2016

$1,715.2

$1,714.3

1.00

July 2016

$1,707.9

$1,795.4

1.05

August 2016

$1,709.0

$1,753.4

1.03

September 2016

$1,493.3

$1,567.2

1.05

October 2016 (final)

$1,630.4

$1,488.4

0.91

November 2016 (prelim)

$1,613.2

$1,547.2

0.96

Source: SEMI (www.semi.org), December 2016