Category Archives: 3D Integration

ARM and TSMC announced a multi-year agreement to collaborate on a 7nm FinFET process technology which includes a design solution for future low-power, high-performance compute SoCs. The new agreement expands the companies’ long-standing partnership and advances leading-edge process technologies beyond mobile and into next-generation networks and data centers. Additionally, the agreement extends previous collaborations on 16nm and 10nm FinFET that have featured ARM Artisan foundation Physical IP.

“Existing ARM-based platforms have been shown to deliver an increase of up to 10x in compute density for specific data center workloads,” said Pete Hutton, executive vice president and president of product groups, ARM. “Future ARM technology designed specifically for data centers and network infrastructure and optimized for TSMC 7nm FinFET will enable our mutual customers to scale the industry’s lowest-power architecture across all performance points.”

“TSMC continuously invests in advanced process technology to support our customer’s success,” said Dr. Cliff Hou, vice president, R&D, TSMC. “With our 7nm FinFET, we have expanded our Process and Ecosystem solutions from mobile to high performance compute. Customers designing their next generation high-performance computing SoCs will benefit from TSMC’s industry-leading 7nm FinFET, which will deliver more performance improvement at the same power or lower power at the same performance as compared to our 10nm FinFET process node. Jointly optimized ARM and TSMC solutions will enable our customers to deliver disruptive, first-to-market products.”

This latest agreement builds on ARM and TSMC’s success with previous generations of 16nm FinFET and 10nm FinFET process technology. The joint innovations from previous TSMC and ARM collaborations have enabled customers to accelerate their product development cycles and take advantage of leading-edge processes and IP. Recent benefits include early access to Artisan Physical IP and tape-outs of ARM Cortex-A72 processor on 16nm FinFET and 10nm FinFET.

By Ira Feldman, general chair, BiTS 

What’s the single area that is being most disrupted by emergent technologies like the Internet of Things (or the Internet of Vehicles) and Silicon Photonics? We think it’s packaging. The cost and size constraints of these pervasive devices is driving ever more “shrink” – and innovation in the area of packaging – in order to deliver their benefits to every aspect of our daily lives. From fancy pedometers that are auditing our every step to all the data centers that are required to host the big data that is being created … ICs are at the core of the transformation and the test and packaging of these devices is incrementally more challenging.

“Silicon Photonics manufacturing has evolved to the point where it is now possible to manufacture a silicon photonics die using a standard CMOS manufacturing line. But, one challenge remained unsolved: how to test these applications at wafer level in a volume production environment,” said Jose Moreira, senior staff engineer at Advantest. “Working in conjunction with Tokyo Electron Labs and STMicroelectronics, a test cell implementation for testing mixed digital and silicon photonics ICs has been devised. In our Burn-In and Test Strategies (BiTS) Workshop presentation, we will review a solution for a high volume test cell for an OSAT environment.”

bits 2 bits

Now in its 17th year, BiTS offers a full technical program that spans four days including sessions on MEMS test, WLCSP test, Test Cell Integration, simulation & modeling, materials, and more. This year’s Tutorial is a practicum on the theory and statistics that underlie Adaptive Test to include test time reduction and outlier detection. The BiTS EXPO showcases the latest in test cell hardware, services, and consumables including sockets, load boards, contactors, materials, and more. BiTS has plenty of time for networking, great food, and warm weather! Attend the Burn-In and Test Strategies (BiTS) Workshop (March 6-9) in Mesa, Arizona. SEMI has arranged a special discount of $50 off registration when using the code of 50SEM.

The annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2016) will be held May 16-19 in Saratoga Springs, New York. The conference will feature 35 hours of technical presentations and over 100 experts covering all aspects of advanced semiconductor manufacturing. This year’s event features a panel discussion on “Moore’s Law… Wall vs. Wallet… Where Do We Grow from Here?” and a tutorial on Nanoscale III-V CMOS by Dr. Jesús A. del Alamo, director, Microsystems Technology Laboratories, MIT.

SEMI’s ASMC continues to provide a venue for industry professionals to network, learn and share knowledge on new and best-method semiconductor manufacturing practices and concepts.  The conference is co-chaired by Dr. Jeanne Bickford of GLOBALFOUNDRIES and Dr. Janay Camp of KLA-Tencor.  ASMC 2016 offers keynotes by Christine Furstoss, VP and technical director, Manufacturing & Materials Technologies, GE Global Research on “Advanced Manufacturing…Changing Today’s Paradigm,” and Robert Maire, president, Semiconductor Advisors, on “Is China Driving the Urge to Merge?”

The topical areas that ASMC 2016 will address include:

  • 3D/TSV
  • Advanced Equipment and Materials Processes
  • Advanced Metrology
  • Advanced Patterning
  • Advanced Process Control (APC)
  • Contamination Free Manufacturing (CFM)
  • Yield Management; Defect Inspection
  • Equipment Reliability and Productivity Enhancement
  • Factory Optimization

ASMC includes an interactive poster session and reception, which provides an ideal opportunity for networking between authors and conference attendees.

ASMC 2016 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT). Corporate sponsors include: Air Liquide, Applied Materials, Applied Seals, Edwards, FEI, Greene Tweed, JT Baker – Avantor, KLA-Tencor, Nikon, and Valqua America.

Registration for ASMC 2016 is available at www.semi.org/asmc.  For more information contact Margaret Kindling at [email protected] or phone 1.202.393.5552. Qualified members of the media should contact Deborah Geiger (SEMI Public Relations) at [email protected] for media registration information.

Heterostructures formed by different three-dimensional semiconductors form the foundation for modern electronic and photonic devices. Now, University of Washington scientists have successfully combined two different ultrathin semiconductors — each just one layer of atoms thick and roughly 100,000 times thinner than a human hair — to make a new two-dimensional heterostructure with potential uses in clean energy and optically-active electronics. The team, led by Boeing Distinguished Associate Professor Xiaodong Xu, announced its findings in a paper published Feb. 12 in the journal Science.

Senior author Xu and lead authors Kyle Seyler and Pasqual Rivera, both doctoral students in the UW physics department, synthesized and investigated the optical properties of this new type of semiconductor sandwich.

“What we’re seeing here is distinct from heterostructures made of 3-D semiconductors,” said Xu, who has joint appointments in the Department of Physics and the Department of Materials Science and Engineering. “We’ve created a system to study the special properties of these atomically thin layers and their potential to answer basic questions about physics and develop new electronic and photonic technologies.”

When semiconductors absorb light, pairs of positive and negative charges can form and bind together to create so-called excitons. Scientists have long studied how these excitons behave, but when they are squeezed down to the 2-D limit in these atomically thin materials, surprising interactions can occur.

While traditional semiconductors manipulate the flow of electron charge, this device allows excitons to be preserved in “valleys,” a concept from quantum mechanics similar to the spin of electrons. This is a critical step in the development of new nanoscale technologies that integrate light with electronics.

“It was already known that these ultrathin 2-D semiconductor have these unique properties that you cannot find in other 2-D or 3-D arrangements,” said Xu. “But as we show here, when we put these two layers together — one on top of the other — the interface between these sheets becomes the site of even more new physical properties, which you don’t see in each layer on its own or in the 3-D version.”

Xu and his team wanted to create and explore the properties of a 2-D semiconductor heterostructure made up of two different layers of material, a natural expansion of their previous studies on atomically thin junctions, as well as nanoscale lasers based on atomically thin layers of semiconductors. By studying how laser light interacts with this heterostructure, they gathered information about the physical properties at the atomically sharp interface.

“Many groups have studied the optical properties of single 2-D sheets,” said Seyler. “What we do here is carefully stack one material on top of another, and then study the new properties that arise at the interface.”

The team obtained two types of semiconducting crystals, tungsten diselenide (WSe2) and molybdenum diselenide (MoSe2), from collaborators at Oak Ridge National Laboratory. They used facilities developed in-house to precisely arrange two layers, one derived from each crystal, a process that took a few years to fully develop.

“But now that we know how to do it properly, we can make new ones in one or two weeks,” said Xu.

Getting these devices to emit light posed a unique challenge, due to the properties of electrons in each layer.

“Once you have these two sheets of material, an essential question is how to position the two layers together,” said Seyler. The electrons in each layer have unique spin and valley properties, and “how you position them — their twist angle — affects how they interact with light.”

By aligning the crystal lattices, the authors could excite the heterostructure with a laser and create optically active excitons between the two layers.

“These excitons at the interface can store valley information for orders of magnitude longer than either of the layers on their own,” said Rivera. “This long lifetime allows for fascinating effects which may lead to further optical and electronic applications with valley functionality.”

Now that they can efficiently make a semiconductor heterostructure out of 2-D materials, Xu and his team would like to explore a number of fascinating physical properties, including how exciton behavior varies as they change angles between the layers, the quantum properties excitons between layers and electrically driven light emission.

“There’s a whole industry that wants to use these 2-D semiconductors to make new electronic and photonic devices,” said Xu. “So we’re trying to study the fundamental properties of these new heterostructures for things like efficient laser technology, light-emitting diodes and light-harvesting devices. These will hopefully be useful for clean energy and information technology applications. It is quite exciting but there’s a lot work to do.”

EV Group (EVG), a supplier of wafer-bonding, lithography/nanoimprint lithography (NIL), metrology, photoresist coating, cleaning and inspection equipment, today announced its participation in the 3D integration consortium of IRT Nanoelec, which is headed by CEA-Leti. EVG joins Leti, STMicroelectronics and Mentor Graphics to develop advanced 3D wafer-to-wafer bonding technologies. SET also joined recently the consortium.

Based in Grenoble, France, IRT Nanoelec is an R&D center focused on information and communication technologies (ICT) using micro- and nanoelectronics. 3D integration is one of its core programs.

The 3D integration program was launched in 2012. It brings together, under a single roof, expertise and equipment addressing the entire 3D integration value chain: technology, circuit architecture, EDA tools, packaging and test. Mentor Graphics (EDA), ST (foundry) and Leti are the founding members of the consortium.

“The development of permanent bonding equipment and processes geared towards high-volume manufacturing of 3D stacked devices has been a focus area for EVG for more than 15 years. We are excited about the opportunities that result from joining forces with CEA-Leti, STMicroelectronics and Mentor Graphics to further develop and prove our solutions for advanced 3D technologies, such as 3D partitioning and advanced 3D imaging sensors,” said Markus Wimplinger, corporate technology development and IP director. “Being able to verify and further optimize bonding technologies with the most advanced product designs and in a leading-edge fab environment is critical for further progressing our technology development.”

Séverine Chéramy, director of the 3D integration program of IRT Nanoelec, said the consortium expects to achieve an interconnection pitch of about 1µm.

“The work with EVG, in the frame of IRT Nanoelec, will undoubtedly add value to the current program, because wafer-to-wafer stacking using direct Cu-to-Cu bonding is key for advanced 3D technologies, specifically for imaging application and 3D partitioning,” she said. “EVG’s knowledge on bonding will leverage the process expertise of the original members. The participation of EVG in the consortium will create new opportunities and optimized and cost-effective solutions for 3D IC devices.”

IRT Nanoelec previously announced that SET, Smart Equipment Technology, joined a consortium project to help develop advanced 3D die-to-wafer stacking technologies, using direct copper-to-copper bonding.

IRT-Nanoelec Research Technological Institute (IRT), headed by CEA-Leti, conducts research and development in the field of information and communication technologies (ICT) and, specifically, micro- and nanoelectronics. Based in Grenoble, France, IRT Nanoelec leverages the area’s proven innovation ecosystem to create the technologies that will power the nanoelectronics of tomorrow, drive new product development and inspire new applications – like the Internet of Things – for existing technologies. The R&D conducted at IRT Nanoelec provides early insight into how emerging technologies such as 3D integration and silicon photonics will affect integrated circuits.

The FinFET technology market is expected to grow from $4.91 billion USD in 2015 to $35.12 billion by 2022, at a compound annual growth rate (CAGR) of 26.2% between 2016 and 2022, according to the new market research report,”FinFET Technology Market by Technology (22nm, 20nm, 16nm, 14nm, 10nm, 7nm), Product (CPU, SoC, FPGA, GPU, MCU, and Network Processor), End-User (Smartphones, Computers & Tablets, Wearables, and Automotive) and Geography – Global Forecast to 2022,” published by MarketsandMarkets.

The FinFET technology market is driven by factors such as miniaturization of semiconductor devices with increase in the performance, growing mobile and consumer electronics market, and high performance with lower current leakage than bulk technology.

FinFET Technology was first introduced at the 22nm process node by Intel (U.S.)

On the basis of technology the FinFET technology market is segmented into 22nm, 20nm, 16nm, 14nm, 10nm, and 7nm. Intel (U.S.) was the first company to manufacture products at the 22nm FinFET technology, which basically have their applications in computers and servers. With the increasing need of miniaturization of semiconductor components along with better performance parameters and reduction in power consumption, the market for the 7nm FinFET technology is expected to grow at a high rate in future.

Applications in wearables to register the highest growth rate

Computers and smartphones were early adopters of FinFET technology and are driving the market, currently. Application processors in smartphones have the same functionalities as that of CPUs. Samsung (South Korea) led the market for application processors in 2015 by introducing its Exynos Octa 7 chips manufactured using the 14nm FinFET technology. By 2016, the next chip in the Exynos series (Exynos Octa 8) is expected to power the smartphones with more functionalities and improved performance. FinFETs are also gaining popularity in several application areas such as wearables, high-end networks, and automotive. The wearable device market is expected to grow at a high rate, catapulting the FinFET technology market further.

Asia-Pacific to be the fastest-growing market

North America accounted for majority large share of the overall FinFET Technology Market in 2015. The market in APAC is expected to grow at the highest CAGR between 2016 and 2022. Dome countries in Asia-Pacific are major manufacturing hubs and are expected to provide ample opportunities for the growth of the FinFET technology. The growing demand for high performance in smartphones and automotive CPUs is driving the market in this region.

This global report gives a detailed view of the market across the four regions, namely, North America, Europe, Asia-Pacific, and Rest of the World which includes the Middle East and Africa. The report profiles the 10 most promising players in the FinFET technology market.

The competitive landscape of the market presents a very interesting picture, wherein the OEMs, component manufacturers, and system integrators in the FinFET technology market value chain have come together and are majorly focused on the development of advanced and improved FinFET products.

Major players in this market are Intel (U.S.), TSMC (Taiwan), Samsung (South Korea), and GlobalFoundries (U.S.).

SEMI announced today the launch of the European Semiconductor integrated Packaging and Test (ESiPAT) Special Interest Group.  The Special Interest Group (SIG) represents SEMI members who have semiconductor packaging, assembly, test manufacturing, or design activities in Europe. The purpose of the SIG is to foster collaboration among companies and to collectively raise the profile and reinforce the semiconductor back-end industry in Europe. Activities will include:

  • Maintaining a strong back-end network in Europe
  • Increasing awareness between European suppliers and device/packaging manufacturers
  • Mapping and reporting capabilities and capacities of European SiPAT members
  • Identifying gaps in the European back-end supply chain relative to other regions
  • Advocating for the  Packaging, Assembly, and Test industry in Europe
  • Building project consortia and bidding for European funding

The newly formed executive committee of the SIG includes representatives from AEMTec, First Sensor, NANIUM, RoodMicrotec, Sencio, STMicroelectronics, and Swissbit. More than 20 additional companies from the European back-end supply chain have already expressed interest to join.

Companies meeting the requirements can apply to join the ESiPAT group. SEMI membership and ESiPAT SIG membership dues are required. Additional information, including the charter and by-laws, is available online.  Within SEMI, Europe is pioneering the SiPAT SIG. Additional chapters in North America and Japan are currently under development.

A new 5D solution utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

BY ROBERT CAPPEL and CATHY PERRY-SULLIVAN, KLA-Tencor Corp., Milpitas, CA

In order to produce IC devices at sub-16nm design nodes, semiconductor manufacturers are integrating many novel technologies, including multiple patterning, spacer pitch splitting, 3D logic and memory structures, new materials and complex reticles. The challenges associated with these innovative technologies place huge cost strains on the semiconductor industry. In this environment, high yields and fast ramps play critical roles in helping semiconductor manufacturers maintain profitability.

Process control has helped IC manufacturers accelerate yield over the last 30 years, providing the inspection and metrology technologies necessary for early identification of critical process issues. As IC device design nodes shrunk over time, process control systems kept pace through the implementation of innovative technologies that enabled detection of defects and process variations that inhibited yield and reliability. For example, KLA-Tencor’s optical wafer inspection systems have evolved over the past 30 years from using a tungsten-halogen light source, off-the-shelf microscope objectives and an off-the-shelf sensor to utilizing a laser-pumped broadband light source that is brighter than the sun, optics that are as complex as those used in steppers and custom sensors that are 1,000 times faster than a digital camera. Today’s broadband plasma optical patterned wafer inspectors are now capable of detecting 10nm defects—only four times larger than the diameter of a DNA strand. Moreover, the detection of these defects across all die on a 300mm wafer is equivalent to finding hundreds of coins dispersed across an area the size of the state of California from many miles in space—in an hour.

The multiple technologies used to produce today’s leading-edge devices create challenges for process control. Inspection and metrology systems need to be able to extract signal from smaller defects and process/ pattern variations, often on complex 3D structures with high-aspect ratio features. With novel materials and increased process variability, this signal extraction needs to happen in an environment of increased background noise. In addition, with multiple patterning and more process steps, inspection and metrology tools need to provide increased productivity to enable sufficient production monitoring to detect excursions. For example, FinFETs produced using multiple patterning techniques require process control strategies that utilize advanced inspection and metrology systems that integrate design information and produce the sensitivity necessary to help address smaller critical defects, 3D structures and narrow process windows. In addition, the inspection and metrology solutions must also provide improved productivity to help cost-effectively monitor and control the increased number of process steps associated with fabricating the FinFETs using multiple patterning.

These challenges drive the innovation that produces the unique process control technologies and solutions that find design, patterning or process issues early. This capability is essential for IC manufacturers as it enables production of today’s leading-edge and future technologies with maximum yield and device performance at reduced risk and cost.

The value of process control

The inspection and metrology systems at the core of process control are not used to fabricate IC devices, as they do not add or remove materials or create patterns. However, rather than being superfluous steps in IC manufacturing, process control is critical for making high-yielding, reliable devices. By finding defects and measuring critical parameters, inspection and metrology systems monitor the hundreds of steps required to manufacture a device. These process control measurements help fab engineers identify and troubleshoot process issues when there is an excursion. Process control is fundamentally tied to yield as it would be near impossible for fabs to pinpoint process issues that affect yield without inspection and metrology.

Achieving a fast yield ramp to get products to market quickly is essential for chipmakers—any delay in yield ramp affects revenue [1] and can affect future investment in R&D and the release of next-gener- ation products. By taking steps such as implementing capable process control strategies, a fab can attain shorter development times, faster manufacturing ramps and improved production yield. In fact, the value chipmakers can attain from process control is realized in many forms, including: strong return on investment; lower manufacturing costs and risks; increased revenues; faster time to money; improved cycle times; greater profits; and, business continuity.

In order to provide deeper insight into the value of process control, the ten fundamental truths of process control (FIGURE 1) were compiled. Each of the fundamental truths has been introduced in a series of Process Watch articles [2-10], including details on the applications of these truths to semiconductor IC manufacturing. By understanding the fundamental nature of process control through these ten truths, fabs can implement strategies to identify critical defects, find excursions and reduce sources of variation.

Yield 1

Given the increasing complexity of advanced devices and process integration, one of the most critical fundamental truths that fabs must account for going forward is: Process control requirements increase with each design rule [9]. As FIGURE 2 shows, the number of process steps increases dramatically starting with the 16/14nm design node. As the number of process steps increases, all steps must be held to a higher standard for excursions, defect density and variability. If the per-step yield stays constant at the level achieved for the 28nm node, then the predicted cumulative yield will drop with each smaller design node (FIGURE 3). Because of this compounding nature of yield loss, fabs must obtain tighter controls and lower defect density at each individual process step. This drives the need for new process control strategies that not only detect yield- critical defects and subtle process variations, but also allow engineers to increase inspection and metrology sampling. Such process control capability enables direct monitoring of the increased number of process steps and quick detection of excursions that can have a tremendous impact on wafer manufacturing costs.

Yield 2

FIGURE 3. With increasing process steps, the predicted cumulative yield will drop for advanced design nodes if the per- step yield stays constant at 28nm levels.

FIGURE 3. With increasing process steps, the predicted cumulative yield will drop for advanced design nodes if the per- step yield stays constant at 28nm levels.

 

Strategy for future process control challenges

In moving to sub-16nm design nodes, semiconductor manufacturers are faced with many challenges to Moore’s Law. On the technical side, there are the complexities associated with the integration of novel technologies (e.g., multiple patterning, 3D structures, new materials, complex reticles, increased number of process steps). On the economic side, the convergence of these multiple technologies creates increased pressure on fabs to maintain control of costs. Transistor costs are related to the scaling factor, manufacturing costs and yields. With rising fab, design, development and lithography costs, the best solution semiconductor manufacturers have to achieving the cost goals of Moore’s Law is accelerating yield.

In trying to achieve faster yield ramps, IC manufacturers must confront the many issues surrounding the robustness of their design and process window. On the design side, engineers must be able to find and assess design weak points in order to drive improvements that ensure the device design and fabrication techniques are stable for production. At the sub-16nm design nodes, the required pattern overlay budgets are ≤4.5nm, critical dimension specifications are ~2nm and process windows are extremely narrow. In order to drive the changes necessary to achieve these tight patterning specifications (FIGURE 4), engineers need to understand fab-wide sources of patterning error and the impact of variations on process windows. In this environment of tackling difficult technical challenges within cost targets, process control is essential.

FIGURE 4. For advanced multi-patterning technologies, the sources of patterning errors are fab-wide—occurring both inside and outside the lithography cell. In order to meet the incredibly tight specifications for overlay and critical dimensions, engineers must look at reducing the fab-wide sources of process variation.

FIGURE 4. For advanced multi-patterning technologies, the sources of patterning errors are fab-wide—occurring both inside and outside the lithography cell. In order to meet the incredibly tight specifications for overlay and critical dimensions, engineers must look at reducing the fab-wide sources of process variation.

Developing the necessary process control solutions is challenging—requiring both tremendous innovation and close collaboration among multiple sectors within the semiconductor industry. Not only is it necessary to develop novel technologies that provide advanced inspection and metrology system performance, it is also essential to pursue innovation towards comprehensive process control solutions—strategies that tie process control systems together, so they work in concert in the fab with intelligent analysis systems handling the complex, high-volume data being generated. These process control “system of systems” can help fabs achieve faster yield ramp through quick design verification and process window discovery, expansion and control.

Two examples of process control solutions are shown in FIGURE 5. With defect discovery the goal is to detect and identify yield-critical defects that highlight design issues during development and process drift during production. The discovery system leverages design information through NanoPoint technology on the 2920 Series broadband plasma optical defect inspection systems to find critical pattern defects that affect yield the most dramatically. The Surfscan SP5 unpatterned wafer inspection system aids in preventing yield issues by detecting tiny substrate defects that can distort the subsequent films and pattern structures on advanced 3D devices, such as FinFETs and vertical NAND flash. Finally, the eDR-7110 e-beam review and classification system identifies the defects detected by the 2920 Series and Surfscan inspectors. By producing comprehensive information on critical nanoscale defects, the defect discovery solution helps fab engineers characterize, optimize and monitor their advanced processes to accelerate time-to-market.

FIGURE 5. The future of process control involves “system of systems” solutions which tie together multiple inspection and metrology systems with intelligent data analysis. Shown are two examples of process control solutions: KLA-Tencor’s defect discovery solution on the left and KLA-Tencor’s 5D patterning control solution on the right.

FIGURE 5. The future of process control involves “system of systems” solutions which tie together multiple inspection and metrology systems with intelligent data analysis. Shown are two examples of process control solutions: KLA-Tencor’s defect discovery solution on the left and KLA-Tencor’s 5D patterning control solution on the right.

FIGURE 5. The future of process control involves “system of systems” solutions which tie together multiple inspection and metrology systems with intelligent data analysis. Shown are two examples of process control solutions: KLA-Tencor’s defect discovery solution on the left and KLA-Tencor’s 5D patterning control solution on the right.

The goal of the 5D patterning control solution [11, 12] is to help IC manufacturers obtain optimal patterning on advanced devices. With today’s complex multiple patterning and spacer pitch splitting technologies, patterning errors are no longer tied to the lithography cell. Patterning errors can come from fab-wide sources, such as wafer distortion caused by CMP that directly relates to scanner focus errors. The 5D solution utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated. A critical component of this system solution is the ability to feed back and feed forward metrology data (FIGURE 6). Feedback loops have been utilized for many design nodes. For example, Archer 500LCM overlay metrology systems identify patterning errors and feed back information to the lithography module and scanner to improve the patterning of future lots. But, there is also the opportunity to feed forward information that can further improve patterning. For example the Wafer-Sight PWG patterned wafer geometry measurement system can measure wafer shape after processes such as etch and CMP and this data can be fed forward to the scanner to improve patterning [13 – 15]. Overall, this 5D solution—utilizing fab-wide, comprehensive measurements and an intelligent combination of feedback and feed forward control loops—can help fab engineers expand their process windows, reduce variation within those windows, and ultimately obtain better patterning results.

FIGURE 6. KLA-Tencor’s 5D patterning control solution implements multiple data loops to help optimize patterning. Existing feedback loops (blue) have existed for several design nodes and detect and compensate for process variations. New, optimized feedback loops (green) provide earlier detection of process changes. Innovative feed forward loops (orange) utilize metrology systems to measure variations at the source, then feed that data forward to subsequent process steps.

FIGURE 6. KLA-Tencor’s 5D patterning control solution implements multiple data loops to help optimize patterning. Existing feedback loops (blue) have existed for several design nodes and detect and compensate for process variations. New, optimized feedback loops (green) provide earlier detection of process changes. Innovative feed forward loops (orange) utilize metrology systems to measure variations at the source, then feed that data forward to subsequent process steps.

These comprehensive process control solutions are a critical part of IC industry success, enabling high yields and fast ramps by allowing engineers to more quickly and cost-effectively address a broad range of process issues. Going forward, it is essential to maintain an ecosystem of innovation and collaboration that ensures novel process control systems and solutions are developed that address IC process and cost challenges.

References

1. “The Chip Insider,” VLSI research, March 26, 2013.
2. PriceandSutherland,“Process Watch:You Can’t Fix What You Can’t Find,” Solid State Technology, July 2014. http://electroiq.com/blog/2014/07/process-watch-the-10-fundamental-truths-of-
process-control-for-the-semiconductor-ic-industry/
3. PriceandSutherland,“Process Watch:Sampling Matters,”
Semiconductor Manufacturing and Design, September 2014. http://semimd.com/blog/2014/09/15/process-watch-sampling-matters/
4. PriceandSutherland,“Process Watch:The Most Expensive Defect,” Solid State Technology, December 2014. http:// electroiq.com/blog/2014/12/the-most-expensive-defect/
5. Sutherland and Price, “Process Watch: Fab Managers Don’t Like Surprises,” Solid State Technology, December 2014. http:// electroiq.com/blog/2014/12/process-watch-fab-managers-dont- like-surprises/
6. Sutherland and Price, “Process Watch: Know Your Enemy,” Solid State Technology, March 2015. http://electroiq.com/ blog/2015/03/process-watch-know-your-enemy/
7. SutherlandandPrice,“Process Watch:Time is The Enemy of Profitability,” Solid State Technology, May 2015. http://electroiq.com/blog/2015/05/process-watch-time-is-the-enemy-of-profitability/
8. Price and Sutherland, “Process Watch: The Most Expensive Defect, Part 2,” Solid State Technology, July 2015. http://electroiq.com/blog/2015/07/process-watch-the-most-expensive-defect-part-2/
9. Price and Sutherland, “Process Watch: Increasing Process Steps and the Tyranny of Numbers,” Solid State Technology, July 2015. http://electroiq.com/blog/2015/07/process-watch-increasing-process-steps-and-the-tyranny-of-numbers/
10. Sutherland and Price, “Process Watch: Risky Business,” Solid State Technology, September 2015. http://electroiq.com/blog/2015/09/process-watch-risky-business/
11. Korczynski, “Overlay Metrology Suite for Multiple Patterning,” Semiconductor Manufacturing and Design, August 2014. http://semimd.com/blog/2014/08/26/overlay-metrology-suite-for-multiple-patterning/
12. Moyer, “Feed It Forward (And Back),” Electronic Engineering Journal, September 2014. http://www.eejournal.com/archives/ articles/20140915-klat5d/
13. Lee et al, “Improvement of Depth of Focus Control using Wafer Geometry,” Proc. of SPIE, Vol. 9424, 942428, 2015.
14. Tran et al, “Process Induced Wafer Geometry Impact on Center and Edge Lithography Performance for Sub 2X nm Nodes,” 26th Annual SEMI Advanced Semiconductor Manufacturing Conference, 2015.
15. Morgenfeld et al, “Monitoring process-induced focus errors using high resolution flatness metrology,” 26th Annual SEMI Advanced Semiconductor Manufacturing Conference, 2015.

ROBERT CAPPEL is Senior Director and CATHY PERRY-SULLIVAN is Technical Marketing Manager, Global Customer Organization, KLA-Tencor Corporation Milpitas, CA.

Technavio analysts forecast the global semiconductor packaging and assembly equipment market to post a CAGR of 4.7% by 2020, according to their latest report.

The research study covers the present scenario and growth prospects of the global semiconductor packaging and assembly equipment market for 2016-2020. To calculate the market size, the report considers the revenue generated from the sale of die-level and wafer-level packaging and assembly equipment to semiconductor manufacturers.

Technavio’s report segments the market in two different main types of equipment:

  • Global die-level packaging and assembly equipment
  • Global wafer-level packaging and assembly equipment

“In 2015, die-level packaging and assembly equipment was the most prominent segment of the global semiconductor packaging and assembly equipment market, accounting for 60.6% of the total market. The primary reason behind the segment’s market dominance is the increasing demand for the application process, baseband, and SoCs, which are integrated in mobile devices. Wafer-level packaging and assembly equipment accounted for 39.42% of the overall market in 2015,” said Technavio lead semiconductor equipment analyst Asif Gani.

Technavio’s report highlights four major factors that are influencing the growth of the global semiconductor packaging and assembly equipment market:

  • Rising demand for polymer adhesive wafer bonding equipment
  • Growing application of semiconductor ICs in the IoT
  • Increasing complexity of semiconductor IC designs
  • Increasing miniaturization of electronic devices

Rising demand for polymer adhesive wafer bonding equipment

The demand for polymer adhesive wafer bonding equipment is rising due to the increasing adoption of advanced packaging applications like TSV, 2.5D and 3D ICs, stacked die packaging, and MEMS packaging. Polymer adhesive wafer bonding equipment provides reliable thinning and backside processing of the stacked dies. In addition, it lowers the cost of TSV integration. The rising demand for polymer adhesive wafer bonding equipment will therefore have a moderately high impact on the market for semiconductor devices, as this equipment supports 3D packaging, which is the future of the semiconductor packaging and assembly industry.

Growing application of semiconductor ICs in the IoT

An estimated 30 billion devices will be connected through the IoT by 2020. The IoT enables devices to collect data using sensors and actuators and transmit data to a centralized location on a real-time basis. The IoT has been extensively adopted in multiple market segments (consumer electronics, automotive, medical) and will likely drive the market for semiconductor devices and associated equipment during the forecast period.

The IoT requires the application of ultra-low power (ULP) processors. Therefore, to reduce the size of the processor chip and to fit in compact devices like wearables, development of new packaging technologies is necessary. The growing application of semiconductor ICs in the IoT will have a moderately high impact on semiconductor device manufacturers, as it is estimated that the market for semiconductors and sensors for IoT applications will cross the USD 50 billion mark by the end of 2020. Manufacturers will have to either increase their production capacity or revamp their technologies to match the changing technological environment.

Increasing complexity of semiconductor IC designs

Due to the increasing functionalities of consumer electronics, there is an increasing need for multifunctional ICs. Semiconductor manufacturers have addressed this need by developing sophisticated architecture and designs for semiconductor ICs. Manufacturing semiconductor ICs based on these designs is complicated, which has created a demand for upgraded packaging and assembly equipment.

“The increasing complexity of the semiconductor wafer design will have a moderate impact on semiconductor device manufacturers, as they must invest in packaging and assembly equipment to maintain the performance of semiconductor ICs,” said Asif.

Increasing miniaturization of electronic devices

The increasing demand for compact electronic devices used in multiple sectors like telecommunications and automotive has led to further miniaturization of semiconductor ICs. With advances in technology like 3D ICs and MEMS, as well as changes in the design of ICs such as finer patterning, electronic equipment is becoming more compact and user-friendly. MEMS is a technology used for miniaturization of chips by the process of microfabrication.

Samsung Electronics and Apple remained the top semiconductor buyers in 2015, representing 17.7 percent of the market, according to Gartner, Inc. Samsung Electronics and Apple together consumed $59.0 billion of semiconductors in 2015, an increase of $0.8 billion from 2014 (see Table 1).

“Samsung Electronics and Apple have topped the semiconductor consumption table for five consecutive years, but the growth of Samsung’s design total available market (TAM) was lower than the total semiconductor market in 2014 and 2015,” said Masatsune Yamaji, principal research analyst at Gartner. “Samsung and Lenovo, the fastest-growing companies over the last five years, decreased their design TAM in 2015 and the risk of revenue declines from the strongest customers for semiconductor chip vendors is increasing.”

The top 10 companies bought $123 billion of semiconductors, to account for 36.9 percent of semiconductor chip vendors’ worldwide revenue in 2015. This was down from 37.9 percent in 2014, which was worse than the semiconductor industry’s global total decrease of 1.9 percent.

Table 1. Preliminary Ranking of Top 10 Companies by Semiconductor Design TAM, Worldwide, 2015 (Millions of Dollars)

 

 

2014 Ranking

 

 

2015 Ranking

 

 

 

Company

 

 

 

2014

 

 

 

2015

 

 

Growth (%) 2014-2015

 

 

 2015 Market

Share (%) 

1

1

Samsung Electronics

30,989

29,867

-3.6

8.9

2

2

Apple

27,177

29,116

7.1

8.7

4

3

Lenovo

13,743

13,329

-3.0

4.0

5

4

Dell

10,880

10,686

-1.8

3.2

3

5

HP Inc.

15,616

8,634

-44.7

2.6

7

6

Huawei

6,040

7,020

16.2

2.1

6

7

Sony

7,631

6,947

-9.0

2.1

8

Hewlett Packard Enterprise

0

6,473

1.9

9

9

LG Electronics

5,743

5,533

-3.7

1.7

8

10

Cisco Systems

5,817

5,430

-6.7

1.6

Others

216,695

210,684

-2.8

63.1

Total

340,331

333,718

-1.9

100.0

Note: Some columns do not add to totals shown because of rounding.

Source: Gartner (January 2016)

The market decline happened partly because HP spun off its enterprise business, which bumped Toshiba from the top 10. Toshiba’s design TAM in 2015 was $4.6 billion, so the top 10 companies in 2014 (including HP Inc., Hewlett Packard Enterprise and Toshiba) represented $127.6 billion of semiconductors in 2015 on a design TAM basis, to account for 38.2 percent of semiconductor chip vendors’ worldwide revenue.

As the growth of the personal electronic device market continues to slow, the risk of revenue declines from the strongest customers for semiconductor chip vendors is increasing. Many semiconductor chip vendors, especially general-purpose chip vendors, are trying to reduce the dependency on a limited number of extremely large customers, such as Samsung Electronics, Apple and Lenovo, and are making an effort to diversify their sales targets to the fragmented long-tail small customers, so as to stabilize their business growth with a mass-marketing approach.

“Nine of the top 10 companies in the design TAM ranking for 2014 remained in the top 10 in 2015, but seven of the top 10 decreased their semiconductor demand in 2015,” said Mr. Yamaji. “The slowing of Samsung’s design TAM since 2014 should be considered a big trend change. The cycle of an inflated boom and the obsolescence of electronic equipment are becoming faster, and it is also much more difficult for leading companies to maintain their position for a long time. Current winners may not always be the winners in the future.”

More detailed analysis is available in the report “Market Insight: Top 10 Semiconductor Chip Buyers, Worldwide, 2015 (Preliminary).”