Category Archives: 3D Integration

The Silicon Integration Initiative (Si2), a global semiconductor standards consortium, announced today that Herb Reiter is joining the team of professionals in the role of Director, 3D IC Programs. Herb will leverage his breadth of knowledge and extensive network in 2.5D and 3D IC design and fabrication to enhance the business goals of Si2’s members in the Open3D Technical Advisory Board.

Herb’s background is particularly well suited for his new leadership role. After more than 20 years in technical and business roles at semiconductor and EDA companies, Herb founded eda2asic Consulting, Inc. to focus on increasing the cooperation between EDA suppliers and semiconductor vendors. In this role he introduced innovative IC design tools to the major semiconductor vendors worldwide. Herb was previously chair of the Global Semiconductor Alliance’s 3D-IC Working Group, and a 3D IC business development consultant to SEMATECH. As such, his expertise includes 3D-IC design, packaging technology, semiconductor materials as well as manufacturing and test equipment. Herb earned an MBA at San Jose State University, and Master Degrees in Business and Electrical Engineering at the University and the Technical College in Linz/Austria, respectively.

The semiconductor industry is at a cross-road,” states Herb. “Moore’s Law process scaling is approaching practical limits. The effort and expenditure to push to the next smaller transistor size is becoming an impediment to continued progress, for all except the highest volume designs. 2.5D and 3D integrated circuits provide a fresh approach toward continued improvement of system performance, yet a key issue is ensuring sufficient interoperability and sharing of design data across various stages in the co-design process to guarantee efficient, lower cost designs. ‘Just-right’ standards, with forward-looking member companies leading the collaboration, play a critical role. That’s what is happening at Si2.”

John Ellis, Executive Vice President of Engineering, affirmed, “Herb understands the urgency in developing 3D IC standards that remove barriers in developing interoperable design tools. At Si2, we believe that the Internet of Things will be enabled by standards and organizations that take a holistic view of the semiconductor design ecosystem, as mechanical, thermal, and power considerations, for the entire package, all impact system performance. Members of our Open3D Technical Advisory Board have been visionary in their development of new standards to tackle these challenges. With Herb’s support, this team can make even faster progress toward developing the standards and business interactions needed to help enable the efficient, cohesive design environment needed for tomorrow’s products.”

Read more: The future looks amazing, but not if we stay the same

The SEMI Strategic Materials Conference, held September 30–October 1 in Santa Clara, Calif., will examine the drivers for new materials and how they impact material suppliers and the value chain they serve. The theme this year is “Materials Matter — Enabling the Future of IC Fabrication and Packaging,” delving into the market opportunities, scaling challenges, and emerging solutions to meet the sub-20nm technology node production challenges. SMC is the only conference dedicated to exploring the synergies, trends and business opportunities in advanced electronic materials. The agenda includes presentations by market analysts, leading device manufacturers, as well as equipment and material suppliers.

The increasing semiconductor content in mobile, computing, entertainment, and transportation are driving demands for higher performance and lower power consumption. The IC industry today is moving beyond scaling as the primary driver and looking to new materials and architectures.  Candidate materials span the spectrum from fabricating non-planar transistor structures to reducing interconnect RC delays.  3D interconnect and multi-chip bonding are facilitating form factors for use in phones, tablets and devices encompassing the internet of things.   In this “Age of Materials,” SMC will discuss market opportunities, scaling challenges, emerging solutions and more to meet the constantly growing demands.

Matt Nowak, senior director, Global Operations Group at Qualcomm, offers the conference’s keynote with insights on the emergence of the Digital Sixth Sense: Opportunities that will drive consumer demand over the coming decades, and the associated adoption of new IC devices and electronic materials. Tim G. Hendry, VP, Technology & Manufacturing Group at Intel, will kick off the session “Supply Chain Challenges, Interdependence for Future Growth” with his keynote, “Delivering Complexity to Beyond the Leading Edge.”

Other companies presenting include: Air Products & Chemicals, Air Liquide Electronics, Dow Chemical, Edwards Vacuum, Entegris, GLOBALFOUNDRIES, Hilltop Economics, IBM, Intel, Linx Consulting, Lux Research, Matheson, Pall Corp, SAFC Hitech, Sandisk, Stanford University, Stifel Nicolaus, TechSearch International, TriQuint Semiconductor, and VLSI Research.

For the “advanced materials”-enabled microelectronics industry, the Strategic Materials Conference is planning, forecasting, and business development necessity. Organized by the Chemical and Gas Manufacturers Group (CGMG), a SEMI Special Interest Group comprised of leading manufacturers, producers, packagers and distributors of chemicals and gases used in the microelectronics industry, SMC has provided valuable information and networking opportunities to materials and electronics industry professionals since 1995.

For the complete agenda, additional information and to register, visit the Strategic Materials Conference webpage atwww.semi.org/smc.  For information on SEMI, visit www.semi.org.

Recent developments in wafer bonding technology have demonstrated the ability to achieve improved bond alignment accuracy. 

BY THOMAS UHRMANN, THORSTEN MATTHIAS, THOMAS WAGENLEITNER and PAUL LINDNER, EV Group, St. Florian am Inn, Austria.

Scaling and Moore’s law have been the economic was initially misty, several paths to integration have been the economic drivers in the planar silicon arena for the last 30 years. During that period, major technology evolutions have been implemented in CMOS processing. The most recent of these evolutions have been extremely complex, including multiple-step lithographic patterning, new strain enhancing materials and metal oxide gate dielectrics. Despite these great feats of engineering and material science, the often predicted “red brick wall” is once again fast approaching and requires evasive action. In fact, several semiconductor suppliers have already shown that the “economic” brick wall has arrived at the 22nm node, where scaling can no longer decrease the cost per transistor [1]. Solutions are getting more difficult to track down in an industry driven by increasing performance at lower cost.

3D-IC integration provides a path to continue to meet the performance/cost demands of next-gener- ation devices while avoiding the need for further lithographic scaling, which requires both increas- ingly complex and costly lithography equipment as well as more patterning steps. 3D-IC integration, on the other hand, allows the industry to increase chip performance while remaining at more relaxed gate lengths with less process complexity— without necessarily adding cost [1].

While the initial outlook on 3D-IC integration was initially misty, several paths to integration have since been identified, giving an unobscured view to the future in the third dimension [2]. The current state of 3D-IC integration is analogous to crossing the Alps. There are different options to get over the mountain range: by smart use of the valleys, more dangerous direct ascent and descent, or by the brute force of tunneling through. In the end, the most economic routes are combinations of all these factors. In 3D-ICs we see a similar process occurring now. Some 3D devices are established in the middle of the fabrication process, referred as mid-end-of- line (MEOL), while some are established using chip stacking at the back-end-of-line (BEOL). In the future, some 3D stacking will be pulled upstream into the front-end-of-line (FEOL). Which integration scheme will be adopted by a manufacturer depends mainly on the target device, market size and compatibility of processes. The most cost-effective approach to 3D-IC integration should be a combination of all three integration schemes. That said, for many applications 3D-IC integration in FEOL processing offers further potential to pave the way for cost reduction, perfor- mance increase and higher-power efficiency. Front-end processing is still seen as a purely planar-based process, where the power/performance of the device comes from the silicon. However, many disruptive processes and materials, such as SiGe and other epitaxial layers, have already been implemented to enable device improvements. As a result, the boundary between planar and 3D stacking has already softened and paves the way for heterogeneous integration (e.g., memory on memory, memory on logic, etc.) to become prevalent going forward [3].

FIGURE 1. Comparison of different 3D front-end-of-line integration schemes.

FIGURE 1. Comparison of different 3D front-end-of-line integration schemes.

FIGURE 1 provides an overview of different 3D integration process schemes at FEOL. The first integration scheme being considered is layer- by-layer epitaxial growth, which has been a standard process for the semiconductor industry for the last 20 years. However, current epitaxy temperatures, which are in excess of 600-1000°C, make epi not a viable option for 3D integration today, since metal diffusion and broadening dopant distribution of the functional substrate wafer caused by these extreme temperatures would destroy the underlying IC layer. A second integration method is hybrid bonding, whereby a dual damascene copper and silicon oxide hybrid interface serves as both the full-area bonding mechanism and the electrical connection. A third route for 3D integration is the transfer of a thin processed semiconductor layer (ranging from tens to a few hundred nanometers in thickness) using a full-area dielectric bond. In contrast to hybrid bonding, the electrical connection is introduced by a via-last process between early interconnect metal levels on the bottom wafer and the second transferred transistor layer.

Both hybrid bonding and full-area dielectric bonding can be achieved through aligned wafer-to- wafer fusion bonding. However, high-interconnect density along with small routing dimensions set a high bar for bond alignment precision, which is necessary for fusion bonding. Fusion bonding is a two-step process consisting of 1) room-temperature pre-bonding and 2) a high-temperature annealing step. This essentially relates to the chemical bonds at interface. While pre-bonding is based on hydrogen bridges, thermal annealing facilitates the formation of covalent bonds.

FIGURE 2. Calculated surface overlap of metal TSVs for hybrid bonding as a function of wafer-to-wafer alignment accuracy. Comparison of ITRS roadmap relevant TSV pitches and diameters reveal, alignment accuracy of better than 200nm (3�) is needed to achieve 60% and more TSV overlap for hybrid bonding.

FIGURE 2. Calculated surface overlap of metal TSVs for hybrid bonding as a function of wafer-to-wafer alignment accuracy. Comparison of ITRS roadmap relevant TSV pitches and diameters reveal, alignment accuracy of better than 200nm (3) is needed to achieve 60% and more TSV overlap for hybrid bonding.

An important benefit of fusion bonding is the widespread avail- ability of bonding materials. Any exotic or novel material suffers a high barrier to adoption in the semiconductor industry, in part because it must comply with many different specifications and requires lengthy and extensive failure analysis to ensure no negative impacts are introduced across the entire chip process. With fusion bonding, however, all integration schemes rely on silicon oxide, silicon nitride or oxy-nitrides as dielectric bonding materials, and copper or other interconnect metals— all of which are standard in state-of-the-art IC production lines.

Early on, successful fusion bonding required that the bonding material be transformed into a viscous flow, which required extremely high temperatures (ranging from 800°C to 1100°C depending on doping as well as deposition method) [4]. However, major research has been and continues to be invested in interface physics and morphology prior to bonding and their effect on the bonding result. Recent efforts in low-temperature plasma activation bonding have enabled a reduction of the thermal annealing temperature to about 200°C and opened up the possi- bility for further material combinations [5,6]. In fact, fusion bonding is already being implemented in high-volume production for certain applications, including image sensors and engineered substrates, such as silicon-on-insulator (SOI) wafers. In the case of wafer-to-wafer fusion bonding, the process can readily being introduced into the CMOS process flow, which uses low-k dielectrics and standard metals.

Alignment is key for fusion-bonded 3D-ICs

Minimizing the via dimension for via-last bonding, or the via and bonding pad dimensions for hybrid bonding, are key requirements for bringing down the cost of 3D devices. Considering that the role of a TSV is essentially “only” for signal connection yet consumes valuable wafer real estate, further miniaturization has to be the logical consequence. Increasing integration density is a means of regaining valuable active device area. However, a direct consequence of smaller interconnect struc- tures is the need for improved wafer-to-wafer alignment.

As indicated in the cross section of FIGURE 1 for via-last processing after semiconductor layer stacking, lithographic etch masks for the vias need to be aligned to the buried metal layers. Bonding alignment is also key here, since the resist layer must match with contacts on both the bottom and top device layers. In order to minimize loss of silicon real-estate and maintain small wiring exclusion zones, the bond alignment must be within tight specifications and adapt to metal, via and contact nodes, as shown in FIGURE 2.

The semiconductor world would be easy if devices operated at a constant voltage. However, a major concern with 3D-IC/through-silicon via (TSV) integration is the potential introduction of high- frequency response and parasitic effects. Again, bond alignment is of major importance here. Any via within the interconnection network will generate a certain electric field around it. Perfect alignment between individual interconnect layers results in a symmetric electric field, whereas misalignment can cause a local enhancement of the electric field. This in turn can result inan electric field imbalance. Further scaling of intercon- nects and pitch reduction between vias means that inhomogeneous electric fields gain importance. Memory stacking and high-bandwidth interfaces with massively parallelized signal buses are particularly sensitive to this issue [2].

Optimizing alignment values

From the above discussion, it becomes clear that wafer-to-wafer alignment accuracy for fusion bonding has to
be in line with interconnect scaling. The 2011 edition of the Interna- tional Technology Roadmap for Semiconductors (ITRS) roadmap (at the time of writing this article, the Assembly and Packaging section of the 2013 ITRS Roadmap has not yet been published) specified that for high-density TSV applications, the diameter of vias will be in the range of 0.8-1.5 μm in 2015 [2], which requires an alignment accuracy of 500nm (3) in order to establish a good electrical connection. Previous studies have demonstrated that alter- native wafer-to-wafer alignment approaches can achieve a post-bond alignment accuracy of better than 250nm for oxide-oxide fusion bonding [7]. The newly introduced SmartView®NT2 bond aligner has demonstrated the ability to achieve face-to-face alignment within 200nm (3), as shown in FIGURE 3.

FIGURE 3. SmartView NT2 alignment data for consecutive alignments (left), revealing an alignment accuracy of 200nm (3�) from the histogram and corresponding normal distribution (right).

FIGURE 3. SmartView NT2 alignment data for consecutive alignments (left), revealing an alignment accuracy of 200nm (3) from the histogram and corresponding normal distribution (right).

Several factors contribute to the global alignment of the wafers besides the in-plane measurement
and placement of the wafers relative to each other. In fusion bonding, both wafers are aligned and a pre-bond is initiated. When bringing the device wafers together, wafer stress and/or bow can influence the formation of a bond wave. The bond wave describes the front where hydrogen bridge bonds are formed to pre-bond the wafers. Controlling the continuous wave formation and controlling influencing parameters is key to achieving the tight alignment specifications noted above. In essence, optimizing a fusion bonding process means that one must optimize the force generated during the bonding.

For example, bowing and warping of processed wafers can be substantial after via etching and filling. TSVs in particular represent local strain centers on a wafer. Minimizing the via size and depth helps to reduce the strain, which heavily influences the shape and travel of the bond wave. At the same time, this bond wave also causes local strain while running through the bonding interface. Any wafer strain manifests in distortion of the wafer, which leads to an additional alignment shift. Process and tool optimization can minimize strain and significantly reduce local stress patterns. Typically, distortion values in production are well below 50nm. Indeed, further optimization of distortion values is a combination of many factors, including not only the bonding process and equipment, but also previous manufac- turing steps and the pattern design. To a large extent, plasma activation also determines initial bonding energies, which impact the travel and formation dynamics of the bond wave and consequently wafer distortion.

Conclusion

In summary, aligned fusion wafer bonding is progressing rapidly to support front-end 3D-IC stacking. However, wafer bonding alignment accuracy must improve in order to meet the production requirements for both current and future design nodes. Controlling the local alignment of the wafers is only one aspect. Other important aspects include the initiation, manipulation and control of the bond wave. Recent developments in wafer bonding technology have demonstrated the ability to achieve bond alignment accuracy of 200nm (3) or less, which is needed to support the production of the next generation of 3D-ICs.

References

1. Z. Or-Bach, “Is the Cost Reduction Associated with Scaling Over?”, June 18, 2012, http://www.monolithic3d.com/2/ post/2012/06/is-the-cost-reduction-associated-with-scal- ing-over.html

2. ITRS Roadmap, 2011 edition
3. M. Bohr, “The evolution of scaling from the homogeneous

era to the heterogeneous era”, IEEE International Electron

Devices Meeting, 2011
4. Q.-Y. Tong and U. Gösele, Semiconductor Wafer Bonding:

Science and Technology (Wiley Interscience, New York, 1999)

5. T. Plach, et al., “Investigations on Bond Strength Develop- ment of Plasma Activated Direct Wafer Bonding with Annealing”, ECS Transactions, 50 (7) 277-285 (2012)

6. T. Plach, et al., “Mechanisms for room temperature direct wafer bonding”, J. Appl. Phys. 113, 094905 (2013)

7. G. Gaudin, et al., “Low temperature direct wafer to wafer bonding for 3D integration”, Proc. IEEE 3D-IC Conference, München, 2010

Four joint laboratories, representing a commitment of S$200m between private and public sectors, were launched today between A*STAR’s Institute of Microelectronics (IME), and its 10 industry partners. The Advanced Semiconductor Joint Labs will develop and advance semiconductor technologies for future electronics markets. The industry partners involved in this international collaboration are: Applied Materials, Dai Nippon Printing, DISCO, KLA-Tencor, Mentor Graphics, Nikon, Panasonic Factory Solutions Asia Pacific, PINK, Tokyo Electron Ltd. and Tokyo Ohka Kogyo.

While expectations are for smart devices to sustain a compact form factor, consumers also expect powerful performance and low power consumption. The challenge for the semiconductor industry is to meet these needs by addressing system and integration scaling in the electronics market. The four joint labs in lithography, wafer level packaging (WLP), metrology and assembly, will provide an integrated platform for semiconductor R&D, starting with patterning, further development of 3D Integrated Circuits (IC), quality control, and finally, the assembly and high-volume manufacturing of chips.

The joint labs build upon the successful model of the IME-Applied Materials Centre of Excellence. Together, the four labs will enable the development of innovative semiconductor technologies and allow partners to undertake solutions-oriented semiconductor R&D and facilitate commercialisation that is earlier, faster and cheaper. This international partnership also bears testament to the industry relevance of IME’s deep research capabilities, and will encourage further development of solutions for global implementation.

Mr Lim Chuan Poh, Chairman of A*STAR, said, “The launch of IME’s Advanced Semiconductor Joint Labs today is an excellent example of public-private partnership under an open innovation framework. I am pleased that A*STAR IME has entered into this strategic partnership with many leading global industry players to capture new growth opportunities for Singapore and the region. The launch of the Advanced Semiconductor Joint Labs reaffirms A*STAR’s deep capabilities and strong infrastructure in the R&D ecosystem to serve the growing needs of the semiconductor industry.”

Professor Dim-Lee Kwong, Executive Director of IME said, “These joint labs further demonstrate our ability to build a global network of partnerships that stretch across the supply chain. These collaborations will encourage semiconductor R&D that is relevant for industry, and provide solutions for a rapidly evolving global electronics market. Through this integrated platform, our partners can leverage A*STAR IME’s technologies and expertise to develop innovative technologies and products to address challenges in the semiconductor industry.”

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled the GEMINI FB XT—its next-generation fusion wafer bonding platform, which combines several performance breakthroughs to move the semiconductor industry closer to the goal of high-volume manufacturing (HVM) of 3D-ICs with through-silicon vias (TSVs).  Featuring up to a three-fold improvement in wafer-to-wafer bond alignment accuracy as well as a 50 percent increase in throughput over the previous industry benchmark platform, the GEMINI FB XT clears several key hurdles to the industry’s adoption of 3D-IC/TSV technology in order to drive continuous improvements in device density and performance without the need for increasingly costly and complex lithography processing.

ev group

Wafer-to-wafer bonding is an essential process step to enable 3D devices such as stacked DRAM, memory-on-logic and future CMOS image sensors.  At the same time, minimizing the dimensions of TSVs, which serve as the electrical contacts between the bonded wafers, is a key requirement for bringing down the cost of 3D devices and supporting higher levels of device performance and bandwidth, as well as lower power consumption.  However, tight alignment and overlay accuracy between the wafers is required to achieve good electrical contact between the interconnected devices on the bonded wafers, as well as to minimize the interconnect area at the bond interface so that more space can be made available on the wafer for producing devices.

Alignment is key for fusion-bonded 3D-ICs

According to the 2012 edition of the International Technology Roadmap for Semiconductors (ITRS), high-density TSV applications will require wafer bonding alignment accuracy of 500 nm (3 sigma) by 2015.  To enable high process yields for hybrid bonding, even tighter specifications are needed.  The GEMINI FB XT incorporates EVG’s newly introduced SmartView NT2 bond aligner, which enables dramatically improved wafer-to-wafer alignment accuracy to below 200nm (3 sigma).  This corresponds to up to a three-fold improvement over EVG’s widely adopted SmartView NT platform—the previous industry benchmark for bond aligners—and exceeds the latest ITRS Roadmap requirements, thereby filling a critical gap faced by device manufacturers that are considering adopting 3D-IC/TSV designs as part of their product roadmaps.  An integrated metrology module validates alignment after pre-bonding to enable customers to quickly fine-tune the bonding process for HVM processing if necessary.

Leveraging EVG’s XT Frame platform, which is utilized across the spectrum of the company’s industry-leading systems, the GEMINI FB XT is optimized for ultra-high throughput and productivity.  Additional pre- and post-processing modules have been added for wafer cleaning and surface preparation, plasma activation and wafer bond alignment that enable increases in throughput by up to 50 percent.  This significantly increased throughput combined with the tighter alignment specifications supports IC manufacturers’ efforts to move wafer stacking upstream in the manufacturing value chain from mid-end-of-line (MEOL) and back-end-of-line (BEOL) processing to front-end-of-line (FEOL) processing.  This, in turn, enables device manufacturers to integrate more functionality into their product at the wafer level, where higher levels of parallel processing can significantly drive down 3D-IC/TSV manufacturing costs.

“While EUV lithography continues to face delays, 3D-IC/TSV integration has emerged as one of the most promising approaches to extending Moore’s Law for future device generations.  Yet enabling 3D-IC/TSV integration for emerging memory and logic applications is impossible without the ability to achieve tight wafer-to-wafer alignment,” stated Paul Lindner, executive technology director at EV Group.  “EVG is continuing to drive improvements across our suite of solutions for 3D-IC/TSV applications to help bring our customers closer to the goal of commercializing 3D-IC technology.  Our new GEMINI FB XT platform marks a major milestone along that path, and we look forward to working with our customers to make the promise of 3D-IC high-volume manufacturing a reality for them.”

By Shannon Davis, Web Editor

Overheard @The ConFab: “I feel the best I’ve felt about semi since 2009.” –Mike Noonen, Silicon Catalyst

Monday’s research and development panel discussion at The ConFab 2014 started on that optimistic note as Moderator Scott Jones of AlixPartners led a discussion on Optimizing R&D Collaboration. Panelists Chris Danely of JP Morgan, Lode Lauwers of imec, Rory McInerney of Intel and Mike Noonen of Silicon Catalyst discussed where the next big growth drivers will come from and the ability of the industry to continue scaling and remain on Moore’s Law through the introduction of new technologies such as EUV, Advanced Packaging and 450mm. The panel also touched on the role startups will play and how increased collaboration can benefit the industry.

Here are highlights from Monday’s discussion.

How do you feel about the semiconductor cycle – is that at a positive point for innovation and small, start-up companies?

Mike Noonen: I feel the best about I’ve felt about semi since 2009. Without a doubt. When you combine that situation that we’re in with a couple driving forces, all of that has fundamental benefits to the semiconductor business at large. You take those mega trends that are not leading edge applications with the challenge of Moore’s Law – those are developing a whole host of innovation. We think this is a great time to think about how to reinvigorate startups – this is the best time to think about innovation.

From left to right: Panelists Chris Danely of JP Morgan, Mike Noonen of Silicon Catalyst, Lode Lauwers of imec, and Rory McInerney of Intel

From left to right: Panelists Chris Danely of JP Morgan, Mike Noonen of Silicon Catalyst, Lode Lauwers of imec, and Rory McInerney of Intel

Consolidation is a big theme right now. Is this something that’s holding us back the industry?

Rory McInerney: I don’t think the industry is consolidating for us as much as we think. The big players are still HP, Lenovo, etc. The new players are Google, Facebook, Amazon, etc. – many didn’t exist 10 years ago. Within our world, there’s the traditional space, but there’s a ton of new stuff in the cloud and server segment.

Tell us some of the most exciting areas Intel is participating in.

Rory McInerney: On the data center side, we do want our 10 and 7nm, but one of the drivers of our business is the massive amount of data being generated around the world. There are tens of billions of devices that will be connected to the Internet in the few years. The only commonality in the [IoT] numbers is that they go up. All of them will have some element of connectivity and with that comes data. And that drives a virtual cycle. In our business, we love this – my point is, there’s a huge room for innovation. The innovation isn’t just the device but the software and application side.

How do investors view the emerging markets and trends? Do they see the opportunities or are they still focusing on traditional markets?

Chris Danely: From a broad perspective, the thing that an analyst looks at – are they playing to their strengths? You might have a company that starts out very successful, but they don’t play to their strengths and start to waste money. For example, Texas Instruments has taken their R&D down, but still outgrow the industry, because they play to their strengths. Another example is Intel – in the last 3 years, they were in the foundry business – we see a lot of potential to upset the apple cart in the foundry business. Nobody else could do this, but this is an area where we see them exploiting their strengths. Is the company playing to its strengths? We also look at ARM on servers – we don’t know if this is going to work or not, but I don’t think this changing the landscape of the industry. There’s still a bright future with semiconductor stocks.

How can executives communicate their R&D strategy better?

Chris Danely: I’ll use my personal experience – you want to keep that message very simple. Identify the growth trends. Make sure the message goes out continuously. Don’t be afraid to use a few buzz words/charts.

Lode Lauwers: If I may, Wall Street is looking in the short term. Time scale [for R&D] is close to 15 years. I don’t know if Wall Street has that visibility. I think a company should consider R&D as a long term investment. We go for long term engagements.

Rory McInerney: It’s a portfolio question in terms of R&D – you’re going to have your short term and your long term investments. I don’t think Wall Street is looking at all the details of investments. I think that our investments on the product side go out 10 years, but they’re small compared to our other investments.

Chris Danely: Wall Street has to consider about things on a six month basis.

Mike Noonen: Biotech, which has a very long time to market, is the second largest venture capital in the US. Biotech has remained lucrative and interesting in the US. In this area, companies go after a single application or problem, and it’s a vibrant and healthy investment. The take away is – it’s all about the economics. It might enable small start ups to innovate and then be acquired.

How should the industry leverage a company like imec?

Lode Lauwers: More than ever, you need to build partnerships. In this industry, we used to say, “Our company can work on its own.” Now, your ecosystem needs to become wider. Ten years ago, people were still sponsoring R&D. Now we are assessed in every individual area, deliverable by deliverable, on does it benefit, is there ROI. You need to be able to deliver relevant work. A company on its own doesn’t always have these abilities in house. Using imec, it’s like building on competences.

Do you see differences in how you approach partnerships?

Chris Danely: The CEOs and CFOs of semi companies are under pressure to not increase expenses, and that’s stifled risk-taking. Some are now approaching R&D through acquisition of startups with personnel – rather than partnerships.

Do you think these companies are larger – semi is a part of a much larger landscape – do you think this might drive the industry/change the landscape?

Rory McInerney: About 70-80 percent of cloud computing today is driven by the social media. That didn’t exist 5 years ago. There is a direct link between that and the changing semi landscape.

What is the biggest risk in the industry right now?

Chris Danely: Saturation. Semi companies are profitable, but we’re starting to see a lot of them, especially as fablite and fabless models are catching on.

Moderator Scott Jones of AlixPartners

Moderator Scott Jones of AlixPartners

SPTS Technologies, a manufacturer of etch, deposition and thermal processing equipment for the semiconductor industry, today announced that it has signed an agreement with CEA-Leti in Grenoble, France, to develop 3D-TSV technologies.

The two-year agreement enters under the framework of the Nanoelec Research Technology Institute program which is led by CEA-Leti, and covers co-development of a range of deposition processes for next-generation 3D high aspect ratio through-silicon-via (TSV) solutions. The agreement builds on the long established relationship between the partners who have already collaborated in the past, particularly on the development and optimization of an advanced MOCVD TiN barrier for high aspect ratio TSV.

3D packaging of semiconductor devices, using TSVs to connect stacked die, is accepted as a critical technology to deliver industry performance goals without exceeding power budgets. To scale future 3D devices, new techniques will be needed to manufacture TSV’s of smaller diameter and higher aspect ratio than are used today.  Under this agreement, SPTS and CEA-Leti aim to develop production worthy solutions to address these challenges. Previous collaboration has resulted in a number of key advancements in the formation of TSVs using SPTS’ deep reactive ion etch (DRIE), chemical vapor deposition (CVD) and physical vapor deposition (PVD). One of the key achievements includes optimization of an advanced metal organic chemical vapor deposition (MOCVD) TiN barrier for high aspect ratio TSV.

“The results previously achieved keeps SPTS at the forefront of 3D-TSV development,” said Kevin Crofton, president and chief operating officer of SPTS. “In partnership with CEA-Leti, we plan now to develop technology and processes that will further extend TSV aspect ratios beyond 20:1, with a particular focus on developing an MOCVD copper process as a seed layer to replace ionized PVD.”

“The work with SPTS and other partners will create solutions that will be transferred into industry,” said Dr. Laurent Malier, CEO of CEA-Leti and President of the Nanoelec RTI board. “Combining Leti’s integration expertise with the specific process knowledge of successful equipment manufacturers like SPTS enables innovation and allows us to create an optimized, cost-effective process flow for volume manufacturing of 3D-IC devices.”

Micron Technology, Inc., a provider of advanced semiconductor solutions, today announced an ongoing collaboration with Intel to deliver an on-package memory solution for Intel’s next-generation Xeon Phi processor, codenamed Knights Landing. The memory solution is the result of a long-term effort between the two companies to break down the memory wall, leveraging the fundamental DRAM and stacking technologies also found in Micron’s Hybrid Memory Cube products.

Read more: Inside the Hybrid Memory Cube

“The ecosystem is changing and the importance of scalable on-package memory and memory bandwidth is now coming to light,” said Chirag Dekate, Research Manager at IDC. “Memory is at the heart of the solution space which will benefit both big compute and big data. This announcement is a clear validation of how Micron is advancing the role and impact of memory on systems and the value that 3D memory can deliver.”

Delivering 5X the sustained memory bandwidth versus DDR4 with one-third the energy per bit in half the footprint, the Knights Landing high performance, on package memory combines high-speed logic and DRAM layers into one optimized package that will set a new industry benchmark for performance and energy efficiency. The memory stack provides optimal levels of reliability, availability, and serviceability, which are critical elements for high-performance computing systems. One of the first applications of the Knights Landing system—a next-generation Cray XC supercomputer—was announced by NERSC on April 29.

“Intel’s many integrated cores (MIC) architecture and Micron’s high performance memory is a formidable combination,” said Tom Eby, vice president for Micron’s compute and networking business unit. “Intel’s and Micron’s advanced technologies successfully marry the processor to a memory system that delivers the very rare coupling of low power and extreme bandwidth.”

“The next-generation Intel® Xeon Phi processor, codenamed Knights Landing, will launch with up to 16GB of high performance, on-package memory that delivers dramastically improved the sustained memory bandwidth versus DDR4 and brings tremendous power-efficiency and space-savings. It is the first Intel HPC processor to use this new high performance on package memory,” said Charles Wuischpard, Vice President, General Manager, Workstations and High Performance Computing Data Center Group at Intel. “This will allow the world’s leading researchers, scientists, and engineers to run larger workloads faster while maintaining current code investments. We’re pleased to be working with Micron to deliver it.”

GS Nanotech, microelectronics products development and manufacture center, plans to launch mass assembly of 3D stacked TSV (through-silicon via) microcircuits in next few years. The company does not disclose the total investments in the project, but it will include the cost of hardware, software, and staff training.

GS Nanotech is a part of Technopolis GS, a private innovation cluster of GS Group holding located in Kaliningrad region, Russia. Launched in 2012, it is the only back-end facility in Russia that performs mass packaging and testing of integrated circuits, including multi-chip units built using the SiP (System-in-Package) technology. The production capacity of the plant is enough for GS Nanotech to become the first in Russia to run its services in the global mass market.

Microcircuits, assembled at the facilities, could be used in any consumer or industrial electronics devices. In particular, the GS Lanthanum chip, designed and issued by GS Nanotech, is implemented in GS U510 digital set-top box under the General Satellite brand. U510 became the first mass consumer electronics product with a Russian-made microprocessor built in.

3D packaging would bring the Russian company to the next technological level. It will allow the facility to provide its customers highly integrated chips, packaged with advanced technology that is widely used today by the world leaders of the microelectronics industry. Within 3D TSV integration technology, dice are placed one above another with vertical interconnections between them.

“This method provides such advantages as smaller size of the system, power consumption reduction, and heat dissipation improvement,” noted Sergei Belyakov, GS Nanotech senior marketing manager.

According to the Yole Development forecast, all TSV packaged devices market value will represent nine percent of the total semiconductor value by 2017, hitting almost 39 billion US dollars.

A business model for microcircuits packaging is at an early stage in Russia. Even large Russian microelectronics enterprises assemble chips in small amounts just for domestic needs specializing on the metal-ceramic cases only. Yet the costs of the packaging services contribute a significant share in the microcircuit prime cost. Development of the 3D TSV packaging will open wide opportunities for a new leap of modern technology in Russia. Mass and high quality 3D packaging by local Russian manufacturers will allow using the technology not only for civil, but military and space applications as well. Chips packaging in Russia will simplify logistics, reduce expenditures for the components transportation, so that the Russian customers could get the parts faster and easier. Integrated circuits, packaged in Russia, will become cheaper and more qualitative alternative to Asian components for European customers as well. All these factors combined contribute to the development of Russian electronics as a modern high-tech industry competitive in the global market.

Miniaturization of manufactured consumer electronics devices is a global trend today, and 3D TSV technology development in Russia will allow the domestic industry keep up with the world technological tendencies. The technology will also foster Russian design and production market development of microelectromechanical systems (MEMS), optoelectronics, hybrid power modules, LED, and other innovative products in the electronics industry.

Want more information on these packaging trends? Register for our free Trends in Packaging webcast.

By Dr. Phil Garrou, Contributing Editor

The 2014 Electronic Component Technology Conference (ECTC) took place last week in Orlando, Florida. The ECTC is widely regarded as the premier microelectronic packaging conference in the world. This years meeting, headed up by General Chair Wolfgang Sauter (IBM) and Program Chair Alan Huffman (RTI Int) included: 1170 attendees, 369 oral presentations, and 101 exhibitors.

huffman

Alan Huffman –Program Chair

Presentation of IEEE Packaging Awards were made at the IEEE CPMT (Components, Packaging and Manufacturing Technology) Society luncheon at ECTC.

Avi

Avi Bar-Cohen – Packaging Field Award

The most prestigious of all awards, the IEEE CPMT “Field Award” went to Dr. Avram Bar-Cohen for “…contributions through leadership, education, and advocacy to thermal design, modeling and analysis of electronic components and for original research on heat transfer and liquid phase cooling.” Dr. Bar-Cohen is currently Distinguished Professor of Mechanical Engineering at Maryland and DARPA program manager for their thermal programs known as “ICECool.” It is the goal of the “field award” to give them to individuals whose names are synonymous  with their field of interest and Avi Bar-Cohen is certainly synonymous with thermal issues in microelectronic packaging.

 

The Electronics Manufacturing Technology Award went to Dr. Raj Master (Microsoft), the Sustained Technical Contribution award to Prof Madhaven Swaminathan (GaTech), the Exceptional Technical Achievement Award to Prof Pradeep Lall (Auburn) and the David Feldman Outstanding Contribution Award to Prof. SW Ricky Lee (Hong Kong Univ).