Category Archives: 3D Integration

By Ardy Johnson, Vice President of Marketing and Product Management, Rudolph Technologies, Inc.

Advanced packaging is in the early stages of a dynamic growth phase. Demand for equipment and related tools in the 3DIC and wafer-level packaging area is forecasted to grow from approximately $370 million in 2010 to over $2.5 billion by 2016. Advanced packaging requirements are driving the evolution of back end manufacturing to become more similar to the front end where the need to tie the entire process together with effective process control has long been established. Rudolph, with a long history in both the front end and back end, is participating fully in this evolution with a “total solution” approach, as exemplified by our recent entry into the back end photolithography market.

Ideally, a photolithography solution for advanced packaging begins with a reduction stepper that is uniquely capable of meeting current and future requirements of advanced packaging processes: greater depth of focus to handle the thicker resists required by exaggerated wafer topography; flexible automation and specialized handling for warped wafers, reconstituted wafers, and large panels; on-the-fly focusing at every exposure to ensure maximum image quality; and an on-board reticle library and fast-change reticle wheel for increased productivity. But the full power of the total solution derives from integrating the stepper with a suite of inspection and metrology tools and process control software: an inspection tool for CD overlay measurements; APC software for closed loop, run to run control; and a yield management system to provide fab-wide, automated, real-time process control feedback.

Fleet management provides another example of the use of automated data collection and analysis to increase equipment uptime, improve yield, and reduce production costs. It monitors the output and operational parameters of inspection and metrology tools performing similar tasks to detect statistical excursions that indicate tool health and stability. One important benefit of fleet management is the ability to improve tool matching-based actual performance.

As backend processes continue to evolve, incorporating the next generation packaging technologies needed to reduce size and increase functionality is a necessity, and manufacturers will derive increasing value from an integrated, total solution approach.

By Arthur W. Zafiropoulo, Chairman and CEO, Ultratech, Inc.

After all the speculation, discussions and debates, the transition to 450-mm wafers will happen.  As an equipment manufacturer, it is not enough to simply survive, but it is imperative to thrive in the transition to 450mm. While driven by all the major semiconductor companies, the transition to 450-mm wafers will have a compounding effect on equipment manufacturers’ R&D investments. By combining the technology challenges and the wafer diameter change, companies in the equipment industry will require a strong balance sheet to be successful.

Smart companies know that success lies in the ability to be bold and aggressive in R&D and remain conservative on the balance sheet. Success is also determined by a company’s efforts to prepare for the future by investing and developing the right technologies and supporting capabilities. By developing innovative technologies that address the critical issues around the transition and adoption, companies can play an enabling role for 450mm. At Ultratech, we have prepared for the transition to 450mm in our design concepts for our laser spike anneal (LSA) and advanced packaging systems. Both of these products offer the lowest technical risks due their scanning and step and repeat processes. We have added a new inspection technology targeted at device, wafer stress and pattern overlay, which will quickly identify yield and device performance issues.

To provide our customers with competitive advantages, Ultratech introduced seven advanced technology products in 2012 and each one serves a different market. With our LSA technology already proven to reduce stress on the wafer, we introduced two dual-beam laser systems that are built on the Unity Platform™, and are easily scalable for 450-mm applications. We believe the 450-mm LSA systems will provide the industry with new process capabilities that did not previously exist, and the first system will be delivered to the G450 Consortium at the end of 2013.

Also, Ultratech has developed a new technology, Superfast 3G, based on patented coherent gradient sensing technology (CGS) for inspection using a fundamental stress measurement technique to analyze deformation on a microscale over the entire wafer. The system has the flexibility to be implemented anywhere in the production line―front-, middle- and back-end-of-line―to address stress issues confronting leading-edge device manufacturers. 

The development of innovative technologies that solve the critical issues for the transition and adoption of 450-mm manufacturing will be the defining factor for whether a company merely survives or thrives. Ultratech is a company that is thriving.

By Paul Lindner, Executive Technology Director, EV Group

A city’s skyline is a testament to the transformative power of technology—skyscrapers made possible only by the Bessemer steel manufacturing process introduced in the 19th century.  Now in the 21st century, the world is undergoing another major transformation, as new MEMS and 3D semiconductor manufacturing processes create the building blocks for the Internet of Things. Being able to build higher gave birth to the modern city, while being able to connect not just people, but all manner of devices, promises to be just as big a reorganization of society. Similar to skyscrapers and the Bessemer process, the infrastructure of the Internet of Things is being enabled by new low-cost, high- volume manufacturing processes.

Today, sensors are not a new technology anymore than steel was in the 19th century. What’s new is the introduction of manufacturing technologies that are lowering costs to the point where sensors transmitting information to the Internet can be affordably integrated into almost any device. Material advances have played an important role, as metal bonding technologies enable narrower seal frames and shrinks of MEMS devices. In 2013, device shrinks, new high-throughput tools and increased competition between manufacturers, as volume picks up in increasingly standardized capacity lines, will further drive the commoditization of MEMS. With Windows 8 for example providing an API for sensors, operating system requirements are also driving sensor standardization, thereby making it easier to assemble the infrastructure for the Internet of Things.

The Internet of Things, however, is about more than just gathering information through ubiquitous sensors. Huge amounts of data need to be affordably stored and analyzed, in order to be useful, which requires keeping Moore’s Law alive. Fortunately, new semiconductor 3D manufacturing technologies are poised to play a critical role in further commoditizing memory and processing power. In 2013 high volume production of true 3D technology will commence. The industry will also see intensified wafer level developments particularly around image sensors and memory, as new DRAM designs allow for monolithic integration at the wafer level. Wafer-to-wafer bonding processes, combined with built in self-test, error detection and correction  are poised to overcome one of the few remaining hurdles to high-volume, low-cost 3D manufacturing.

Although pundits can debate how the Internet of Things will transform the world, it is becoming increasingly clear that new MEMS and 3D high-volume, low-cost manufacturing technologies will accelerate a radical change to society’s cyber skyline.

At the recent Georgia Tech-hosted International Interposer Conference, Matt Nowak of Qualcomm and Nagesh Vordharalli of Altera both pointed to the necessity for interposer costs to reach 1$ per 100mm2 for them to see wide acceptance in the high-volume mobile arena. For Nowak, the standard interposer would be something like ~200mm2 and cost $2. The question that was posed but unanswered was: "Who will make such a $2 interposer?"

Less than a month later, this question began to be answered as several speakers at the year-ending RTI ASIP conference (Architectures for Semiconductor Integration and Packaging) began to lift the veil on silicon interposer pricing.

Sesh Ramaswami, managing director at Applied Materials, showed a cost analysis which resulted in 300mm interposer wafer costs of $500-$650 / wafer. His cost analysis showed the major cost contributors are damascene processing (22%), front pad and backside bumping (20%), and TSV creation (14%).

Ramaswami noted that the dual damascene costs have been optimized for front-end processing, so there is little chance of cost reduction there; whereas cost of backside bump could be lowered by replacing polymer dielectric with oxide, and the cost of TSV formation can be addressed by increasing etch rate, ECD (plating) rate, and increasing PVD step coverage.

Since one can produce ~286 200mm2 die on a 300mm wafer, at $575 (his midpoint cost) per wafer, this results in a $2 200mm2 silicon interposer.

Lionel Cadix, packaging analyst of Yole D

December 19, 2012 – Singapore’s Institute of Microelectronics (IME), a research institute of the Science and Engineering Research Council of the Agency for Science, Technology and Research (A*STAR), has launched a new multiproject wafer service (MPW) for 2.5D through-silicon interposers, to provide a cost-effective platform for R&D prototyping and proof-of-concept in the technology.

The 2.5D interposer MPW service, supported by IME’s 3D through-silicon via (TSV) engineering line, includes the following modules:

  • Leveraging industry standard Electronic Design Automation (EDA) tools to perform 2.5D TSI design, extraction and verification;
  • TSV with critical dimension (CD), e.g. 10-50

December 17, 2012 – Tezzaron Semiconductor has licensed patents regarding Ziptronix’s direct bonding technologies, "direct bond interconnect" (DBI) and "direct oxide bonding (ZiBond), for use in 3D memory.

Bob Patti, CTO of Tezzaron, pointed to "a direct and robust synergy" between his company’s FaStack 3D technology and Ziptronix’s technologies, calling them "a formidable team." (The two companies have been partnering on 3D ICs since 2005.) This deal broadens Tezzaron’s capabilities in producing advanced 3D memories and extends the scope of 3D and 2.5D devices it can assemble for customers. "With this suite of powerful technologies, we offer a truly ‘one-stop’ solution for both 3D and 2.5D," he said.

Traditional die stacking requires die thinning and thinned-die handling and development of reliable interconnect processes. Ziptronix DBI combines proprietary wafer-level low-temperature oxide bonding and interconnection. It creates extremely strong low-stress bonds, allowing wafers to be processed and thinned after bonding, eliminating the need to handle thinned wafers and/or dies. Interconnect density and alignment accuracy are high, and the device profile is kept low, Ziptronix notes. The process is compatible with damascene interconnect processing, and various test and repair strategies.

DBI was originally used for backside imaging (BSI) sensors, where Ziptronix claims it delivers cost savings of up to 80% over copper thermo-compression bonding. Earlier this year the company helped a memory manufacturer use the new technology in place of standard die stacking, enabling wafer-level stacking to increase memory density and significantly reduce packaging costs. At the time the company had hinted more licensing deals outside the image sensor space were in the pipeline.

"With our DBI, which contains interconnect at the bond interface, Tezzaron can provide a technologically superior product in the memory market at a lower cost and better performance compared to competitors also attempting 3D integration of advanced memory devices," stated Ziptronix CEO Dan Donabedian. "Tezzaron stands alone today in its adoption of the most advanced interconnection technology and therefore will lead the industry in technology areas only imagined just a few short years ago."

Rudolph Technologies, Inc. (Nasdaq: RTEC) has entered the back-end advanced packaging lithography market, with the acquisition of Azores Corp., and the introduction of a new 2X reduction stepper called the JetStep. The move puts the company squarely in competition with San Jose-based Ultratech, Inc., which claims 80% of the existing market with a 1X proximity projection system.

The JetStep, based in part on Azores’ 6200 platform which was developed for LCDs, has several advantages over the 1X approach, according to Rudolph, which has added its own wafer handling and software to the system. . System advantages include the largest printable field-of-view, programmable aperture blades and large on-tool reticle library, large depth-of-focus along with autofocus to accommodate 3D structures in advanced packaging, very large working distance, and warped wafer handling (+/- 6mm). The system also feature programmable wafer edge protection, enabling a variable edge exclusion zone of 0.5-5 mm. The system also features a large (17mm) working distance between the lens and wafer, which helps avoid a common maintenance issue on 1X systems. “It’s critical to have an ample depth of focus,” said Elvino da Silveira, president and CEO of Azores (Wilmington, MA), who will stay on to head the Rudolph lithography group.

In addition, with its flat panel lithography heritage, the JetStep System incorporates Azores’ high precision grid motor stage. This provides a flexible platform that can be readily scaled to changing substrate sizes and types in the advanced packaging market. It can handle both standard and reconstituted 300mm and 330mm wafers, all panel sizes and is 450mm capable.

Commenting on the new product and the acquisition, Paul F. McLaughlin, chairman and CEO of Rudolph, said: “The JetStep System is a disruptive innovation in the back-end lithography market, addressing the technical and economic advantages demanded for advanced packaging. The Azores acquisition uniquely positions Rudolph in the back-end stepper advanced packaging photolithography market with a significantly expanded business model, and we believe that by offering the industry’s only total solution to advanced packaging lithography, we can more than double Rudolph’s total back-end addressable market.”

“Specifically, the advanced packaging market needs a stepper supplier willing to be flexible and capable of delivering unique solutions for their requirements, and a process control partner that can deliver improved production systems for advanced packaging applications,” McLaughlin noted. “By leveraging R&D investments from both the Rudolph and Azores organizations, we took a field-proven 2X display lithography technology and applied it to the needs of the high-growth back-end packaging market where Rudolph already has long-standing customer relationships and global brand recognition. In short, we have changed the game,” McLaughlin said.

Strategically, the deal doubles the combined companies’ backend market presence, giving Rudolph a foothold in backend litho for advanced packaging, points out Credit Suisse analyst Satya Kumar. This $150M-$200M market is currently "fragmented among proximity aligners" targeting lower-end bumping and steppers for wafer-level packaging applications, he notes, with the latter (~$100M market) currently dominated by UTEK (as mentioned above).

One JetStep tool has already been placed at a subcontract customer, according to Rudolph; Kumar speculates it’s STATS-ChipPAC.

Financially speaking, the deal should be accretive in 2013, contributing ~$20M in revenues (roughly 10% of the combined company’s total sales). Rudolph expects 100-200 basis points impact to gross margins in the near term, but operating margins should smooth out to corporate average in over the next year or so.

CMOS is running out of steam, but what comes next? At the International Electron Devices Meeting in San Francisco, An Chen of GLOBALFOUNDRIES presented a survey of emerging nanoelectronic devices, which he divided into two categories: Charge-based and non-charge based.

Chen is well qualified to speak on the topic. In 2009, Chen was an assignee to the National Research Initiative (NRI) program of the SRC to work on emerging logic devices, including graphene electronics and spintronics. He is co-chair of the emerging research device group of the International Technology Roadmap for Semiconductors (ITRS). He is also an expert on memory technology, responsible for collaboration on emerging memories with industry consortia such as imec and SEMATECH.

“We can do better using better materials or device structures with better electrostatics (with existing CMOS technology),” Chen said, “but, facing fundamental limits, we have to ask ourselves ‘What are the solutions that may be available beyond CMOS?’”

One of the major challenges for scaling is escalating power density. “If we continue this trend, we’re going to some ridiculous number (see chart). That could limit how small we can shrink the device. That’s the real limit for the scaling,” Chen said. “We cannot really eliminate power leakage and we cannot reduce the supply voltage in proportion to device dimensions. We have to look for devices that may consume less power during switching,” he added.

To this end, low power beyond-CMOS devices have been developed based on novel state variables and/or computation mechanisms. Chen said that charge-based emerging devices may enable low-power computation by making the FET transition steeper or introducing new switching concepts. Another class of devices based on spin are another option; spintronics are one of the main types of noncharge emerging devices.

Chen provided an overview of the leading nanoelectronic devices, noting key features and potential challenges.

Tunneling FET (TFET)

The tunneling FET employs quantum mechanical band-to-band tunneling mechanism, and offers low Vdd, low power and an FET structure that is compatible with CMOS technology and infrastructure.

Challenges include: low saturation current, a lack of ability to extend low SS (subthreshold slope) over a wide current range; difficult engineering of the source tunneling region with regard to junction abruptness, bandgap, carrier effective mass, etc.; challenges with enhancing gate control on the internal E-field; and problems with interface states.

Impact Ionization MOS (IMOS)

IMOS devices employ a gated p-i-n structure operated in the reverse bias regime, where control of the gate impact ionization enables a steep increase of current via carrier multiplication. Key features include a steep sub-threshold slope and CMOS compatibility.

 

Challenges: IMOS devices are intrinsically slow due to the statistical avalanche charge multiplication process, and speed limitations due to carrier multiplication delay and statistical retardation delay. There are also limitations in scaling the intrinsic supply voltage, and susceptibility to hot carrier degradation.

Nano-electro-mechanical Switch (NEMS)

Advantages of NEMS, which operate as a mechnical switch with a cantilever beam as shown, include zero leakage and  zero sub-threshold swing (in principle), high temperature tolerance, immunity to electromagnetic shocks, and compatibility with CMOS.

Challenges with NEMS include: Slow switching speed related to the beam movement and oscillatory pullout time; anoscale contact reliability; surface forces that causing sticking; tunneling-limited scaling; high pull-in voltage, and variability control.

Negative-Cg FET

Key features of the negative common gate FET: steep SS based on collective effects and internal feedback mechanisms; low-power solutions are possible; it’s compatible with CMOS; there have been demonstrations of negative capacitance in ferroelectric dielectrics and <60mV/dec SS in neg-Cg FET.

Challenges: the industry needs to identify appropriate materials (oxides and ferroelectrics) for the best swing with minimal hysteresis, integration of high quality single crystalline ferroelectric oxides on silicon; scalability has yet to be proven; speed is in question.

Resonant Tunneling Diodes (RTD)

Key features: Inherently high speed; negative differential resistance (NDR); integrating a pair of RTD with CMOS gate achieves bi-stable logic operation; precise control of layer thickness is important for fabrication.

Chen provided several examples of RTD device applications: Monostable-bistable transition logic elements, tunneling-based SRAMs and RTD-based spin-filters.

Single Electron Transistor (SET)

Key features: High speed; potentially high device density; potentially high power efficiency; novel functionalities and applications; compatibility with CMOS

Challenges include: size-temperature tradeoff; modest to low gain; large threshold voltage variation; parasitic capacitance; low output current and high output impedance; limited fan-out; low noise immunity; immature fabrication process.

Mott FET

Key features: FET-type structure with CMOS compatibility; fast phase transition speed; good scalability.

Chen said there has been limited progress on FET recently, although two-terminal Mott devices have been explored for memory applications. Other challenges include: transition temperature; a lack of fundamental understanding of the gate oxide (functional channel interface and the local band structure changes under E-field is limited); Mott transition often coupled with thermal effects and structural changes.

Quantum Cellular Automata (QCA)

QCA, which has been experimentally demonstrated with semiconductor, molecular and magnetic dots could provide potentially low-power, novel information processing and transfer mechanisms, and majority gate operation.

Challenges include operating temperature and patterning at extreme scales.

Atomic Switch

The atomic switch is based on the formation/annihilation of a metallic atomic bridge between two electrodes, which can be gate-controlled.

Key features: Highly scalable; low operation voltage and power; two-terminal device for memory is the same as the conductive-bridge RAM (CBRAM); it’s a relatively simple process with potentially low cost, and is 3D stackable.

Challenges: Improve performance of 3-terminal devices (speed, endurance, uniformity); stability and variability may be concerns; speed is determined by ionic transport and electrochemical reactions at the reactive electrode interface; and a better understanding of the operation mechanisms is needed.

SpinFET

Key features: Spin degree of freedom enables additional signal modulation and control; FET-type structure and compatibility with CMOS; dissipation-less transport in theory; and nonvolatility and programmability.

Challenges: Magnetic materials and processing; requires high efficiency of spin injection and detection for sufficient on/off ratio; strength of gate modulation of spin-orbit interaction; and spin relaxation and lifetime.

Other Spin Transistor concepts include spin-MOSFETs, nonmagnetic spin transistors, non-ballistic spinFETs, and magnetic bipolar transistors.

Nanomagnet Logic (NML)

With NML, logic bits are encoded in magnet polarization directions and computation is by magnetic coupling. Key features:  Majority logic operation; room-temperature operation; potentially low switching energy; nonvolatility; potentially zero standby power; and regularity in layout and design, which makes novel architectures more feasible.

Challenges: Clocking field design and optimization; defect tolerance (e.g., misalignment); slow switching speed; scalability in question; layout efficiency; wire crossing.

Chen noted that room-temperature majority logic gate and cascaded logic operation based on NML have been demonstrated, and that a transition from in-plane to perpendicular magnetization may further improve NML operations.

Spin-Transfer-Torque (STT) for Logic

STT for logic, which is enabled by a magnetic tunnel junction (MTJ), can be a  majority logic gate based on phase locking of STT oscillators, or it can be based on STT switching in a multi-terminal magnetic tunnel junction (e.g., separate writing and sensing paths of MTJ and third-terminal controls).

Key features: Leverages technologies from STT-RAM; potentially low power; multiple logic states possible; non-volatility and programmability; STT oscillator may provide clock functions; and it may enable novel architectures and designs based on combined logic and memory functions.

Challenges: Material and integration; reducing switching current and power; and impedance mismatch with CMOS.

Chen said many conceptual device proposals are supported by device and circuit models and there have been an increasing number of experimental demonstrations, plus significant effort on architectural design.

Spin Wave Logic

With spin wave logic, logic information is  encoded in spin wave phase or amplitude and computation is by wave interference. Key features:  Parallel data processing on multiple frequencies on the same device; potentially low-power operation; integration with magneto-electric cells enables nonvolatile information storage; majority logic gate operation; and information transmission without charge transfer and potential interconnect solution for spintronic devices.

Challenges: Efficiency and power consumption of spin wave generation; spin wave signal degradation during propagation along spin waveguide; low group velocity and speed of signal propagation (~ 107 cm/s); device scalability limited by spin wave length; and there’s the potential for inductive cross-talk.

Chen said prototype spin wave logic devices have been demonstrated, including wave generation, propagation, and detection.  

Domain Wall Logic

Information is stored in a movable domain wall in ferromagnetic wires. It’s an all metallic logic, with potentially low power. Challenges include a high current to drive domain wall migration, a relatively slow switching speed, and the need for an external clock.

All Spin Logic

Key features: Magnets inject spin + spins switch magnets; uses both analog (spin current) and digital (bistable magnet) properties; potentially very low power; low voltage clocking operation; and suitable for non-Von Neumann architectures.

Challenges: Room-temperature switching in a multimagnet networks interacting via spin currents; Introduction of high anisotropy magnetic materials into demonstration; proper choice of channel materials; and current density. So far it’s only theory without direct experimental demonstrations

Bi-layer pseudo-Spin FET (BiSFET)

BiSFETs are based on exciton condensation in bi-layer graphene. It potentially offers low power and fast speed, but challenges exist in terms of operating temperature, device fabrication (e.g., graphene and dielectric quality, alignment, thickness control, etc.), and a low noise margin.

IEDM 2012 slideshow 06


December 4, 2012

Goodbye graphene, hello MoS2

Graphene, a one-atom-thick sheet of carbon atoms, is seen as a potential replacement for silicon in future transistors thanks to its exceptional set of properties (high current density, mobility, and saturation velocity). However, transistors made of graphene cannot be turned off because graphene has almost no bandgap. So investigations have begun for a new 2D material, molybdenum sulfide (MoS), which has similar characteristics but offers something graphene doesn’t: a wide energy bandgap, enabling transistors and circuits to be built from it directly. At IEDM, an MIT-led team will describe the use of CVD processing to grow uniform, flexible, single-molecular layers of MoS, comprising a layer of Mo atoms sandwiched between two layers of S atoms. They exploited the material’s 1.8 eV bandgap to build MoS transistors and simple digital and analog circuits (a NAND logic gate and a 1-bit ADC converter). The transistors demonstrated record MoS mobility (>190 cm2/Vs), an ultra-high on/off current ratio of 108, record current density (~20μA/μm) and saturation, and the first GHz RF performance from MoS. The results show MoS may be suitable for mixed-signal applications and for those which require high performance and mechanical flexibility. (#4.6: "Large-Scale 2D Electronics Based on Single-layer MoS2 Grown by Chemical Vapor Deposition")

 

A schematic of the CVD process for growing single-layer MoS.

<<<     PREV      1  2  3  4  5  6  7  8  9  10  11  12  13  14     NEXT     >>>>

IEDM 2012 slideshow 05


December 4, 2012

Hybrid floating gate nonvolatile memory

imec will describe — for the first time — a demonstration of ultra-thin hybrid floating gate (HFG) planar NVM cell performance and reliability. Results not only confirm the high potential of the HFG thickness scaling down to 4nm with improved performance, but also show excellent post cycling data retention and P/E cycling endurance. The optimized ultra-thin HFG planar cells show potential for manufacture and scalability for high density memory application. The stack consists of an ISSG tunnel oxide, a dual layer FG (PVD polysilicon + PVD TiN), a high-k IPD (ALD Al2O3) and an n-type polysilicon CG. (#2.2: "Ultra Thin Hybrid Floating Gate and high-k Dielectric as IGD Enabler of Highly Scaled Planar NAND Flash Technology")

 

XTEM of a Ge-channel FET with SiGe source/drain.

<<<     PREV      1  2  3  4  5  6  7  8  9  10  11  12  13  14     NEXT     >>>>