Category Archives: 3D Integration

July 11, 2012 — At the opening keynote of SEMICON West, Shekhar Borkar, Intel Fellow and director of extreme electronics for the company, presented on ubiquitous computing and the link from ultra high performance computing to handheld devices. He shared developments on power and energy reductions, coupled with increasing semiconductor performance.

In this video interview, Borkar shares some key topics from his presentation: Near-threshold voltage transistor designs, 3D integration for DRAM, unconventional interconnect, and more. Borkar speaks with digital media editor Meredith Courtemanche.

Get deeper into Borkar’s presentation topic in Courtemanche’s blog from the event, The energy behind energy at SEMICON West

 

 

Check out Solid State Technology

July 11, 2012 – PRNewswire – SEMICON West — International consortium SEMATECH qualified the GEMINI automated wafer bonding system from EV Group (EVG) through its systematic, rigorous Equipment Maturity Assessment (EMA) implemented within SEMATECH’s 3D Interconnect program and ISMI’s EMA team. The assessments of several tools are designed to determine equipment readiness for high-volume manufacturing (HVM) of 3D integration technologies. EVG

July 11, 2012 — Day 1 of Semicon West 2012 opened under brighter skies than we had yesterday, when speakers at the SEMI/Gartner market symposium from Portland, of all places, made fun of the gloomy skies over my beloved San Francisco. I don’t think our skies will ever compare to Portland — and I mean that in a good way (for San Francisco…). The Semicon show floor is more spacious than it was a decade ago, with wider aisles, more presentation stages, and fewer pieces of large equipment. And for the first time in several years, Novellus is not doing its own thing in the Yerba Buena Center for the Arts, which still sports its permanent (so far…) Novellus Theater sign.

CEA Leti sponsored an early evening symposium at the W Hotel for almost 200 attendees. Among the fastest computers in the world is an installation in Berkeley that runs up to 16 PFLOPS/sec, requiring 8MW of power. Linear projections for a 1 EFLOP/sec system in the planning phase would require an unmanageable 600MW. Power management is becoming an industry in its own right. Several global data centers have been announced in Scandinavia to allow the climate to contribute to the cooling effort. I anticipate a shift for Santa from toys to virtual games in cloud computing.

Maud Vinet, one of Leti’s resident researchers at U Albany, gave the current status of their work in fully depleted SOI (FDSOI) device architecture, in which they have been engaged for 15 years since the spin out of SOITEC. This is being extended below 20nm, still in a planar device configuration.

A pair of talks reviewed Leti’s involvement in TSV and related 2.5D and 3D integration. One slide was shown with Leti’s first TSV demonstration in 1988, suggesting once again that nothing is new if you know who has the original photographs. While via-middle processing is the current norm, Leti believes that via-last with permanent bonding can be used to bring via diameter down to 3µm, though it may be limited to IDMs and memory applications due to its interdependence with design.

Integration of photonics on chip is another focus area for Leti, motivated by the fact that as much as 80% of the bottlenecks that the zettabytes (zetta = 1021) of data encounter every year occur within the data centers themselves. Since we’re expected to be into the yottabyte (1024) range by 2020, replacing electrons and copper with photons is an idea whose time is too close for comfort. We’re also going to need more prefixes for 1027 and beyond. The world runs on a lot of data, though I maintain that Fox News remains a notable exception.

The presentations were followed by hors d’oeuvres and a champagne bar stocked with — and this is the ironic part — California champagne. I sense a lost branding opportunity for our colleagues from Grenoble.

Best Semicon show give away ever: my sole nominee in this category is the Schott Glass shot glass, a very stylish piece of barware with a generous 2 ounce pour. Kudos!!

Michael A. Fury is a contributing blogger for Solid State Technology and director and senior technology analyst, Techcet Group.

Read his report from SEMI’s press conference and the SEMI/Gartner Market Symposium.

Read more about CEA-Leti’s talks in digital media editor Meredith Courtemanche’s The energy behind energy at SEMICON West

July 10, 2012 — Imec Technology Forum (ITF) took place just before SEMICON West 2012 opened in San Francisco, CA. ITF, held at the Marriott Marquis, focused on advanced semiconductor architectures and process technologies, with an additional impetus placed on the healthcare/medical industry.

Luc Van den hove, president and CEO, imec, spoke with Solid State Technology’s digital media editor, Meredith Courtemanche, covering imec’s major announcements and research presentations to take place during SEMICON West 2012. Summaries of imec’s presentations follow the video.

 

 

Logic

To enhance the advanced metal-high-k gate stack for next-generation logic devices, imec successfully demonstrated higher-k dielectric with Replacement Metal Gate (Metal-Gate-Last) transistors that achieved 200-1000x reduction in gate leakage relative to leading-edge logic devices in the industry with HfO2 high-k gate dielectric. To address the process control and scalability of the replacement metal gate for nano-scale devices, imec achieved tight electrical distribution down to 20nm gate length through detailed process optimizations. By providing fundamental insights into work-function influences due to metal intermixing in aggressively-scaled metal gates, imec’s research addresses an important source of variability in advanced transistors.

Imec has also invested significant effort in the development of 3D FinFET devices and high-k metal gate over the last 10 years.  In the 14nm platform, these features will be combined with the next generation of stress engineering. For the next node — 10nm — we will replace the silicon channel in the FinFET devices with high-mobility materials. And for the nodes beyond 10nm, we are evaluating two possible device routes: tunnelFETs and junction-less nanowires.

 

Memory

In NAND Flash memory, imec further develops hybrid floating gate architecture, scaling this architecture to 15nm and beyond. Beyond 10nm, the main emerging technology is resistive RAM (RRAM). We’ve made significant progress on RRAM: imec recently announced 10nm functional RRAM, made significant improvements in performance and reliability of RRAM cells by process improvements and clever stack-engineering, and increased fundamental understanding of RRAM process technology. 

In DRAM memory, imec is helping to scale MIMcap technology with a focus on materials. Beyond MIMcap, SST-MRAM is the leading candidate on the industry’s emerging DRAM roadmaps. Therefore, in November 2011, imec launched a program on SST-MRAM, for stand-alone DRAM as well as replacement of embedded SRAM.

 

Advanced lithography

To enable further scaling, imec is focusing on the extreme ultraviolet (EUV) lithography pre-production readiness and on extending immersion lithography using advanced patterning integration schemes. To further push the limits of 193nm immersion lithography and overcome some of the critical concerns for EUV lithography, imec implemented 300mm fab-compatible Directed Self-Assembly (DSA) process line all-under-one-roof in imec’s 300mm cleanroom fab. Imec’s DSA collaboration aims to address the critical hurdles to take DSA from the academic lab-scale environment into high-volume manufacturing.

 

Interconnects

The focus of imec’s nano-interconnect program is technology scaling including materials, process, integration, reliability and system aspects.

Imec is investigating half pitch (hp) multiple patterning techniques in combination with immersion lithography, and EUV lithography with single or double patterning techniques.

To improve the mechanical stability and low-damage patterning and integration schemes to reduce the k value, imec studies post-deposition techniques and the impact on performance and reliability.

To avoid wire resistance increase, imec explores metallization using new barrier and seed materials as well as novel deposition and filling techniques such as manganese and ruthenium based metallization, atomic layer deposition and chemical vapor deposition techniques.

 

3D integration

3D integration enables system scaling through 3D chip stacking with through-silicon-vias. Imec’s 3D integration processes are completely executed on 300mm. All processes and flows are tested on functional circuit demonstration vehicles. As part of the INSITE program, imec proposes flows for modeling, simulation, design and testing of 3D systems.

 

14nm, FinFETs

Imec’s early-version PDK (process development kit) for 14nm logic chips is the industry’s first to address the 14nm technology node. It targets the introduction of a number of new key technologies, such as FinFET technology and EUV lithography. With this PDK release, imec leads the way to an industry-standard 14nm PDK. In addition, the PDK anticipates the introduction of a number of new technologies at the 14nm node. The main example is the use of FinFET transistors, which have a larger drive per unit footprint and higher performance at low supply voltages compared to the traditional planar technologies. Evolutions of this PDK will gradually also introduce the use of high-mobility channel materials. The PDK includes elements of both immersion- and EUV lithography, opening the way for a gradual transition from 193nm immersion to EUV lithography.

 

Optical I/O

Future systems will become increasingly dependent on a high input/output bandwidth. Not only between systems, but also between the chips in a system, or even between the cores on a chip.

With optical components, it is possible to build interconnects that have the required bandwidth without consuming more power. Silicon photonics allows fabricating optical components with state-of-the-art semiconductor equipment, using the same processes and tools as for the fabrication of state-of-the-art chips.

At Semicon West, imec will announce the first important results of its industrial affiliation program (IIAP) on high-bandwidth optical input/output. This program is working towards a manufacturable solution for achieving high-bandwidth communication by modeling and engineering optical solutions for high-bandwidth communication between CMOS chips.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 9, 2012 — STATS ChipPAC Ltd. (SGX-ST:STATSChP), a leading semiconductor test and advanced packaging service provider, brought its fcCuBE advanced flip chip semiconductor packaging technology with copper column bumps, bond-on-lead (BOL) interconnection, and enhanced assembly processes into high-volume manufacturing (HVM) for multiple customers. STATS ChipPAC expanded its assembly processing capabilities to address a wider spectrum of bump pitch ranges from >200

July 6, 2012 — We at Solid State Technology have compiled the best conference reports so far this year, in the lead up to SEMICON West 2012, next week in San Francisco. Be sure to bookmark our SEMICON West 2012 Channel for all the info from that show.

Focus on lithography

@ EUVL workshop: Focus on source power, timing

Dr. Vivek Bakshi, president of EUV Litho Inc., reports on the 2012 EUVL Workshop (June 4-8 in Maui, HI), where attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into HVM.

@ SPIE: The spring of EUVL

Dr. Vivek Bakshi, president of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference. He says that this year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15.

@ SPIE: Intel’s, TSMC’s tool roadmap takeaways

After attending SPIE Advanced Lithography, Barclays Capital came away with a lower lithography tool shipments forecast, more hope for EUV lithography, and expectations of a litho buying spree at Intel.

@ SPIE: eBeam Initiative roadmap

The eBeam Initiative, a forum for new IC manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium.

 

Focus on yields/productivity

@ ISMI Manufacturing Week: Productivity challenges identified

Semiconductor manufacturers identified key factory productivity challenges that need to be addressed and shared effective solutions they will need to stay leading-edge and competitive amid turbulent industry transitions during the recent ISMI Manufacturing Week.

@ The ConFab: Legacy semiconductor fab issues

Bill Ross of ISMI and Joanne Itow of Semico report on the ConFab 2012 Executive Roundtable. Older production facilities face equipment obsolescence; skills obsolescence; scarce availability of parts, software, and support; and equipment capability extension and tool re-use.

@ Lightfair: MOCVD capex disobeys fab utilization rules

Barclays Capital analysts attended Lightfair International and gleaned several trends in LEDs and OLEDs for lighting, including an interesting phenomenon around MOCVD utilization rates and new orders.

@ ISS 2012: Profitability threatened

Industry leaders at the 35th annual SEMI Industry Strategy Symposium (ISS) described a perfect storm of cost, complexity and uncertainty as the industry struggles with process engineering complexity at sub-28nm nodes, hazy EUV installation schedules, 3D-IC challenges, and planning for a 450mm wafer transition, reports SEMI.

 

Focus on packaging

@ IMAPS Device Packaging: Vias and more vias

IMAPS Device Packaging’s papers were recently released, and blogger Dr. Phil Garrou shares highlights from SSEC, Asahi Glass, Hitachi Chemical, and others. He also takes a look at Fujitsu’s low temp Cu-Cu bonding technology.

@ The ConFab: A 2.5D/3D interconnect supply chain or ecosystem?

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of front-end fab at the foundry and back-end fab at the packaging and test house is falling apart. Amkor, GLOBALFOUNDRIES, ASE, and Xilinx’s presenters offer ideas.

@ IITC: From TSV to back-end memory work

The 15th IITC took place in San Jose, CA. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back-end memory, blogger Michael Fury reports.

@ ECTC: 3D integration and TSVs

A main focus of this year’s Electronic Components and Technology Conference (ECTC), held in San Diego, was 3D integration and TSVs, blogs Pete Singer, editor-in-chief.

 

Focus on emerging technologies

@ MEMS Executive Congress Europe: MEMS everywhere

Karen Lightman, the Managing Director of the MEMS Industry Group, blogs from the MEMS Executive Congress Europe in Zurich, Switzerland.

@ MRS Spring: Organic electronics

Fury reports from the MRS Spring 2012 meeting in San Francisco. Highlights: electronic skin, energy storage with nanowires, printable inks, gas sensing, inkjet printing, semiconductor polymers for organic devices, CNTs, OFETs, touch screen fabrics, and the coffee breaks.

@ SensorsCon: MEMS, networks, and camera pills

SensorsCon 2012 was held March 21 at the Santa Clara TechMart Center, in conjunction with the annual meeting of the ISQED. This is the first such meeting focusing on sensor technology, with about 60 attendees. As a design conference, the focus was more on system design and architecture, reports Fury.

@ Lightfair China: Low LED prices, subsidy’s role, and MOCVD update

Guangzhou (China) Lightfair Conference is the biggest lighting fair in Asia. Citi analyst Timothy Arcuri notes trends in LED manufacturing and pricing ahead of China’s subsidy program going into effect.

July 2, 2012 – BUSINESS WIRE — Tessera Technologies Inc. (NASDAQ:TSRA) has received notice from Powertech Technology Inc. (PTI) that it will terminate its license agreement with the semiconductor packaging and optics technology company. Tessera also completed phase 1 of its acquisition of camera module technologies from Flextronics.

Tessera Inc., a wholly-owned subsidiary of Tessera Technologies Inc., received a letter from Powertech Technology Inc. (PTI) that purports to terminate its license agreement with Tessera Inc. PTI stated that on July 30, 2012, it will make a payment to Tessera Inc. in protest under the license agreement for the quarter ended June 30, 2012.

PTI filed a complaint against Tessera, Inc. in December of 2011, seeking a declaratory judgment that PTI had the right to terminate its license agreement due to a breach of contract by Tessera, Inc.

June 29, 2012 – BUSINESS WIRE — Semiconductor fab tool supplier Ultratech Inc. (Nasdaq:UTEK) acquired the rights to a collection of patents from semiconductor leader IBM. Ultratech gained semiconductor packaging technologies including C4 bumping, ball grid array (BGA) methods, lead-free solders, and 3D packaging IP.

Representing both U.S. and foreign patents, the portfolio includes claims directed at methods of making, at compositions and at structures of semiconductor devices. This acquisition strengthens and broadens Ultratech’s offerings to facilitate advanced packaging at the lower device nodes.

Ultratech, Inc. (Nasdaq: UTEK) designs, manufactures and markets photolithography and laser processing equipment. Visit Ultratech online at: www.ultratech.com.

IBM makes semiconductors and other microelectronics. For more information on IBM, please visit:www.ibm.com