Category Archives: Displays

The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $84.0 billion during the second quarter of 2015, an increase of 1.0 percent over the previous quarter and 2.0 percent compared to the second quarter of 2014. Global sales for the month of June 2015 reached $28.0 billion, an uptick of 2.0 percent over the June 2014 total of $27.4 billion and a decrease of 0.4 percent from last month’s total of $28.1 billion. Year-to-date sales during the first half of 2015 were 3.9 percent higher than they were at the same point in 2014. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Macroeconomic headwinds and softening demand have slowed global semiconductor market growth somewhat, but the industry still posted its highest-ever second-quarter sales and remains ahead of the pace of sales set in 2014, which was a record year for semiconductor revenues,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The Americas market continues to post solid year-to-year sales increases, and the global market has now grown on a year-to-year basis for 26 consecutive months.”

Regionally, sales increased compared to June 2014 in China (7.8 percent), the Americas (5.6 percent), and Asia Pacific/All Other (5.2 percent), but fell in Europe (-11.5 percent) and Japan (-13.6 percent). Sales were up slightly compared to last month in Japan (1.0 percent) and China (0.6 percent), but down somewhat in Asia Pacific/All Other (-0.6 percent), the Americas (-1.6 percent), and Europe (-1.7 percent). Sales figures in Europeand Japan have been impacted somewhat by currency devaluation.

“Global semiconductor sales are one indicator of the strength of the U.S. industry, which accounts for more than half of total global sales,” Neuffer said. “Policymakers in Washington should enact policies that do more to promote innovation and allow our industry to compete more effectively globally. We applaud the newly formed Congressional Semiconductor Caucus – led by Sen. James Risch (R-Idaho), Sen. Angus King (I-Maine), Rep. Pete Sessions (R-Texas), and Rep. Zoe Lofgren (D-Calif.) – for working to advance pro-growth policies that will strengthen the U.S. semiconductor industry and our economy.”

June 2015

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.62

5.53

-1.6%

Europe

2.87

2.83

-1.7%

Japan

2.54

2.57

1.0%

China

8.08

8.13

0.6%

Asia Pacific/All Other

9.00

8.94

-0.6%

Total

28.11

27.99

-0.4%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.24

5.53

5.6%

Europe

3.19

2.83

-11.5%

Japan

2.97

2.57

-13.6%

China

7.54

8.13

7.8%

Asia Pacific/All Other

8.50

8.94

5.2%

Total

27.44

27.99

2.0%

Three-Month-Moving Average Sales

Market

Jan/Feb/Mar

Apr/May/Jun

% Change

Americas

5.81

5.53

-4.7%

Europe

2.96

2.83

-4.4%

Japan

2.55

2.57

0.8%

China

7.83

8.13

3.8%

Asia Pacific/All Other

8.57

8.94

4.4%

Total

27.70

27.99

1.0%

Sapphire is the key material for LED manufacturing. But in 2015, 20 percent of sapphire will be used in Apple’s iPhone, for the camera lens, fingerprint readers and heart rate monitors covers, and the Apple watch’s window. The new Yole Développement (Yole) report on Sapphire Applications & Market 2015: from LED to Consumer Electronic provides a complete update of all sapphire uses, from LED substrates to consumer applications.

Today, the sapphire industry looks very different, depending on your perspective. The market for sapphire wafers for LED manufacturing is depressed. Wafer prices often fall below manufacturing cost. There is excess capacity that will be able to supply the needs of the industry through to at least the end of the decade. Consequently, companies are shutting down one after the other.

By contrast, the use of sapphire is booming for non-LED applications, driven by Apple’s choice of this material to protect various sensors, and this may be just the beginning. The company decided not to use sapphire for the iPhone 6 family’s display covers, a decision that led to the bankruptcy of GTAT. But now there are signs in the industry that the mobile phone maker is again looking at sapphire as the solution for display covers. Multiple companies are apparently attempting to position themselves in the potential future supply chain. The moves include Lens Technology investing US$532 million investment in a new Chinese sapphire facility, a US$98 million injection in GTAT, the plans of Biel’s joint venture with Roshow for a huge expansion in Inner Mongolia, and several other initiatives.

sapphire companies

Click to view full size.

There were many reasons for Apple’s 2014 decision not to use sapphire in display covers, but they can be summarized as “too fast, too much, too soon.” The project was ambitious in its timeframe and targeted outputs, but many of the necessary processes and technologies in crystal growth and finishing were still at an early stage of development. Yet the venture still set the stage for the future. The partners have developed unrivalled expertise in working with sapphire in a high-volume, cost-controlled environment. A lot was also learned in manufacturing of the complex 3D-shaped Apple Watch cover. But the question remains: why use sapphire?

At more than five times the cost of glass, benefits in term of breakages are still far from obvious and its high reflectivity washes out displays. Sapphire won’t sell for a premium and increase Apple’s market share just on glamour and cachet. If the company eventually adopts sapphire, it means that it would have either demonstrated that it can improve breakage resistance compared to glass and/or developed entirely new functionalities enabled by some unique properties of sapphire.

To exist and thrive, the display cover market needs Apple to take the lead and to succeed. Otherwise, only Huawei seems in a position to propel this market, but not at the same level. And alternative technologies are emerging. Various phone manufacturers recently adopted alumina-coated glass display covers to provide superior scratch resistance. Sapphire Applications & Market 2015: from LED to Consumer Electronic report from Yole presents and analyzes the recent trends in this market, including cost structures, investments and alternative technologies.

In 2015, LEDs still consume 76 percent of the sapphire supply, but oversupply is affecting revenue and profitability. Capacity has increased non-stop since 2009, despite prices being at or below cost for most suppliers since late 2011. The market is oversupplied two or threefold, depending on product category. But the situation is complex. Tier one vendors often operate at high utilization rates and keep increasing capacity. Tier two companies operate at low utilization rates or not at all.

Companies such as BIEMT or Sumitomo Metal Mining recently disappeared or exited the business. The big winners in 2014 were Monocrystal, Aurora, Namiki, Rigidtech and Crystalwise, which all managed to increase volumes and revenue. Global revenue from sapphire cores, bricks and wafers reached US$1.1 billion. Adding finished components produced by Biel, Lens Technology, Crystal Optech and others, revenue reached US$1.8 billion, including the notable performance of Saifei, which supplied the Kyocera Brigadier’s sapphire display cover.

Under strong price pressure, the sapphire industry successfully reduced its costs – but prices are falling even faster. An 18 percent average selling price decrease in 2015 wiped out potential gains from a 16 percent volume increase in LED wafer shipments. “We expect prices to keep decreasing, resulting in an LED wafer market remaining essentially flat in revenue despite a 5.2 percent CAGR growth in volume expected through to 2020,” said Eric Virey, Senior, Technology & Market Analyst at Yole. Optical wafers may also struggle if Yole’s scenario of Apple phasing out its current sapphire fingerprint reader technology for an “In Display” fingerprint sensor materializes in 2018.

IC Insights’ new 185-page Mid-Year Update to The McClean Report, which will be released later this week, examines the recent surge of M&A activity, including China’s aggressive new programs aimed at bolstering its presence in the semiconductor industry.

It would be hard to characterize the huge wave of semiconductor mergers and acquisitions occurring in 2015 as anything but M&A mania, or even madness.  In just the first six months of 2015 alone, announced semiconductor acquisition agreements had a combined total value of $72.6 billion (Figure 1), which is nearly six times the annual average for M&A deals struck during the five previous years (2010-2014).

Figure 1

Figure 1

Three enormous acquisition agreements in 1H15 have already catapulted 2015 into the M&A record books.  First, NXP announced an agreement in March to buy Freescale for $11.8 billion in cash and stock.  In late May, Avago announced a deal to acquire Broadcom for about $37 billion in cash and stock, and then four days later (on June 1), Intel reported it had struck an agreement to buy Altera for $16.7 billion in cash.  Avago’s astonishing deal to buy Broadcom is by far the largest acquisition agreement ever reached in the IC industry.

In many ways, 2015 has become a perfect storm for acquisitions, mergers, and consolidation among major suppliers, which are seeing sales slow in their existing market segments and need to broaden their businesses to stay in favor with investors.  Rising costs of product development and advanced technologies are also driving the need to become bigger and grow sales at higher rates in the second half of this decade.  The emergence of the huge market potential for the Internet of Things (IoT) is causing major IC suppliers to reset their strategies and quickly fill in missing pieces in their product portfolios.  China’s ambitious goal to become self-sufficient in semiconductors and reduce imports of ICs from foreign suppliers has also launched a number of acquisitions by Chinese companies and investment groups.

IC Insights believes that the increasing number of mergers and acquisitions, leading to fewer major IC manufacturers and suppliers, is one of major changes in the supply base that illustrate the maturing of the industry.  In addition to the monstrous M&A wave currently taking place, trends such as the lack of any new entry points for startup IC manufacturers, the strong movement to the fab-lite business model, and the declining capex as a percent of sales ratio, all promise to dramatically reshape the semiconductor industry landscape over the next five years.

Led by declining thin-film-transistor liquid-crystal display (TFT LCD) revenues, global flat panel display (FPD) industry revenue is forecast to fall 2 percent, from $131.4 billion in 2014 to $129 billion in 2015. Dwindling TFT LCD display revenues, declining panel demand in the PC sector, along with ongoing panel-price erosion are the primary reasons for overall FPD revenue declines this year, according to IHS Inc. (NYSE: IHS), a global source of critical information and insight.

After growth last year, global TFT LCD display revenue is expected to decline 3 percent, from $120 billion in 2014 to $115.8 billion this year. However, IHS expects a return to TFT LCD market revenue growth in 2016. Plasma, cathode-ray tube (CRT), passive-matrix liquid crystal display (LCD) and electronic paper display (EPD)  are also facing revenue declines, as some technologies become obsolete and others lack new applications. Organic light-emitting diode (OLED) is the only display technology expected to grow in 2015, according to the latest IHS Display Long-Term Demand Forecast Tracker.

TFT LCD display revenues grew 5 percent last year, from $114.4 billion to $120 billion, due mainly to strong growth in LCD TV panel shipments and higher panel prices. However this year the TFT LCD market is expected to decline for the following reasons:

–   Falling demand for panels used in tablets, notebooks and desktop monitors

–   Price erosion for smartphone panels in 2015, due to sharp increases in production for low-temperature polysilicon (LTPS) TFT LCD panels, which provide higher resolution and lower power consumption

–   Declining open-cell LCD TV panel prices, including 4K UHD TV panels

Even as revenues decline in 2015, area demand for TFT LCD is still expected to grow nearly 4 percent, from 165.5 million square meters in 2014 to 172 million square meters in 2015. This shows that the revenue decline is being driven by  average selling price (ASP) erosion.

“Panel prices are eroding for several reasons, including the swing in LCD TV panel inventory from limited supply to over-supply, which began in the second quarter of this year,” said David Hsieh, senior director of display research for IHS. “Other reasons include falling demand in the PC sector, and panel-capacity expansion pressure on smartphone displays, especially in LTPS panels. The entire FPD supply chain now must shift focus from growth to cost reduction, in order to maintain profitability.”

Active-matrix OLED (AMOLED) display revenues are projected to reach $11.8 billion in 2015, up 36 percent from 2014. Passive-matrix OLED (PMOLED) revenues are projected to reach $450 million this year, up 22 percent from last year.

“AMOLED growth is based on several factors, including soaring smartphone OLED display shipments, growth in OLED TV panel shipments, the expansion of OLED into tablet PCs and increased use in wearable devices, like Apple Watch. Flexible OLED is a key feature driving AMOLED revenues, especially given its higher ASP, attractive features and great value,” Hsieh said.

TFT LCD revenues in 2016 are expected to grow just over 1 percent, year over year, to reach $117.4 billion. The main reasons for the growth are further expansion of LCD TV features, such as larger display size, wider color gamut and further penetration of 4K UHD TV. These features will keep ASP rising; meanwhile, the emergence of the 4K displays for tablets, smartphones and desktop monitors will further increase ASP. Newer automotive displays, smart watches, public displays and other new applications will also add to TFT LCD revenue growth in 2016.

After two Semiconductor Strategy Symposiums in Ho Chi Minh City in 2013 and 2014, SEMI announced today that a SEMI Member Delegation will visit the Vietnamese Central Government in Hanoi on September 21-24.  The objective of the SEMI Vietnam Business Delegation is to introduce SEMI Members to key government officials in several important ministries and economic development agencies in Hanoi and provide the opportunity to learn firsthand about new policies, investment and incentive plans in Vietnam. As Vietnam makes progress on its ambitious journey to establish semiconductor fabrication in the country, now is the right time for the government to interact more closely with foreign businesses, and for SEMI Members to understand the full breadth of opportunities available to them.

As an important stepping stone to Vietnam’s high-tech industries development plans towards 2020, Vietnam’s Prime Minister Nguyen Tan Dung agreed in principle to support the country’s first semiconductor wafer fab, managed by Saigon Industry Corporation (CNS). The Prime Minister assigned the Ho Chi Minh City government to appraise and approve the project and oversee project execution. The SEMI delegation will engage with CNS, potential partners and the government around opportunities for foreign equipment and materials companies and on doing business in Vietnam.

The delegation visit will provide a structured but interactive way to meet representatives from the government as well as the Hoa Lac High Tech Park and the Samsung facility in Thay Nguyen, in order to get a full understanding of how to establish a business presence in Vietnam, find local partners and take advantage of the favorable policies available to the technology sector. With Samsung, Intel, LG Semiconductor, ON Semiconductor and other customers already well-positioned in Vietnam, our member companies have the opportunity to fully explore the promising and growing market in Vietnam.

In addition, workforce development and education are critical issues SEMI will discuss with Hanoi officials. SEMI will provide an overview of the SEMI Foundation and its “High Tech U” program and plans to introduce its first High Tech U event in Vietnam in spring of 2016.

The Semiconductor Industry Association (SIA) today commended the launch of the Congressional Semiconductor Caucus. SIA recognized members of the caucus at a reception on Capitol Hill Tuesday evening and honored the caucus’s co-chairs, Sen. James Risch (R-Idaho), Sen. Angus King (I-Maine), Rep. Pete Sessions (R-Texas), and Rep. Zoe Lofgren (D-Calif.).

“Semiconductors form the foundation of America’s technological and economic strength, national security, and global competitiveness,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The Congressional Semiconductor Caucus will provide a venue for Members of Congress and industry professionals to share ideas and work collaboratively to advance policies that preserve and strengthen the semiconductor industry and our country. We applaud Sen. Risch, Sen. King, Rep. Sessions, and Rep. Lofgren for leading the caucus and for their longstanding support of policies that promote growth and innovation.”

Semiconductors are the brains of modern electronics, making possible the myriad devices we use to work, communicate, travel, entertain, harness energy, treat illness, and make scientific discoveries. SIA is the voice of the U.S. semiconductor industry, uniting companies that account for 80 percent of America’s semiconductor production.

The semiconductor industry directly employs nearly a quarter of a million people in the U.S. and supports more than 1 million additional U.S. jobs. In 2014, sales from U.S. semiconductor companies accounted for more than half of the $336 billion in total global semiconductor sales. Semiconductors are America’s third-leading manufactured export, behind aircraft and automobiles. The industry is highly research-intensive, investing one-fifth of revenues in R&D annually – more than any other industry.

“In the semiconductor industry and across the tech sector, innovation is made possible through the hard work and ingenuity of the industry’s scientists and engineers and is aided by smart public policy from the federal government,” Neuffer said. “SIA looks forward to working with members of the Semiconductor Caucus to advance policies that facilitate free trade and open markets, modernize America’s tax system, strengthen America’s technology workforce, advance university research, and protect intellectual property, among other priorities.”

Dr. Gary Patton, CTO and Head of Worldwide Research and Development, GLOBALFOUNDRIES

Solid State Technology today announced that Dr. Gary Patton has joined the Advisory Board for its annual conference and networking event, The ConFab. Dr. Patton is the CTO and Head of Worldwide Research and Development at GLOBALFOUNDRIES. As an advisory board member Dr. Patton will assist with defining the conference theme and inviting industry leaders to attend the event and take meetings with suppliers.

“It’s a great honor to have Gary on the Advisory Board,” said Pete Singer, Editor-in-Chief of Solid State Technology and conference chair for The ConFab. “Gary has tremendous insights into the next generation technology that will be required to move the semiconductor industry forward. He is also well versed in the economics of semiconductor manufacturing, which is the main focus of The ConFab.”

Dr. Patton, who delivered a keynote at The ConFab 2014 and returned as a VIP attendee in 2015, is responsible for the semiconductor technology R&D roadmap, operations, and execution at GLOBALFOUNDRIES. He was previously VP of IBM’s Semiconductor Research and Development Center in East Fishkill, New York.

The twelfth annual ConFab, which will take place June 12-15, 2016 at the Encore at the Wynn in Las Vegas, will focus on the economics of semiconductor manufacturing and design. Attendees will hear about:

  • The outlook for 2016 and 2017.
  • Technical challenges facing the industry, including next generation lithography, 3D integration, and advanced packaging and testing of increasingly complex chips.
  • Opportunities to maximize collaborative efforts between fabs, fabless/design companies, OSATs, and equipment and materials suppliers.
  • The opportunities of high growth markets

Now in its twelfth year, The ConFab is an executive-level conference and networking event for business leaders from the semiconductor manufacturing and design industry. The event featured a high-level conference program, networking events and strategic business meetings with purchasing decision makers and influencers. Learn more at www.theconfab.com.

With screen sizes increasing, smartphones continue to lead total area demand in the cover glass market; however, as the markets for smartphones and tablets mature, cover glass industry revenue growth is declining from 39 percent year over year in 2013 to 11 percent in 2015. While the overall cover glass market growth is falling, increasing popularity of the Apple Watch is leading to growth in smart watch cover glass shipments, according to IHS Inc., a global source of critical information and insight.

“Although the average display size for tablets is increasing, simpler industrial design and weak device demand are causing average selling prices for cover glass to fall quickly,” according to Terry Yu, senior analyst for small and medium displays for IHS. “Cover glass makers are now pinning hopes on smart watches, as a way to shore up flagging revenue growth caused by the maturation of the smartphone and tablet segments.”

Smartphones are forecast to comprise more than half (55 percent) of all cover glass area demand in 2015, followed by tablet PCs. More complicated requirements for smartphone cover glass — including higher aluminosilicate glass penetration, more drilling holes and more ink layers — are causing average selling prices (ASPs) to rise faster than area demand; smartphone cover glass is therefore expected to make up 63 percent of revenues in 2015. By way of comparison, tablet cover glass is expected to reach 29 percent share of total area demand in 2015, but will only comprise 25 percent of all cover glass revenue, according to the most recent Touch Panel Cover Glass Report from IHS.

cover_glass_data

Due largely to consumer demand for the Apple Watch, overall smart watch cover glass area demand is forecast to increase by five-fold in 2015, reaching 33,000 square meters. That is still only a tenth of a percent of total cover glass area shipments, as cover glasses for wearable devices are much smaller than those used in smartphones and tablet PCs. The slightly curved design known as 2.5D, along with higher sapphire glass penetration, will keep ASPs significantly higher, which will help smart watch cover glass revenue share rise to 3 percent of the total market in 2015.

Higher costs for aluminosilicate glass and sapphire glass can significantly affect total cover glass costs. In fact sapphire glass material costs in smart watches can be up to 12 times higher than the cost of aluminosilicate glass.

Sapphire glass used in wearable devices commands a premium price, so growth in that area would help shore up industry revenues,” Yu said. “In addition, sapphire glass is already used in the traditional watch industry, which makes it easier to adopt by smart watch cover glass manufacturers.”

Note that this market analysis from IHS covers only front cover glass, and does not include glass used in rear covers, such as the Gorilla glass used on the back of the Galaxy S6.

SEMI honored six industry leaders for their outstanding accomplishments in developing Standards for the microelectronics and related industries. The annual SEMI Standards awards were announced at the SEMI Standards reception held last night during SEMICON West 2015. 

2015 SEMI International Standards Excellence Award, inspired by Karel Urbanek

The SEMI International Standards Excellence Award, inspired by Karel Urbanek, is the most prestigious award in the SEMI Standards Program. The 2015 recipient is Dr. Jean-Marie Collard of Solvay Chemicals. The Award recognizes the leadership of the late Karel Urbanek, co-founder of Tencor Instruments and a past SEMI Board of Directors member who was a key figure in the successful globalization of the Standards Program.

Active in SEMI Standards development since 1997, Collard co-chaired the European Chapters of the Gases and Liquid Chemicals Committees since 2003. Under his leadership, the committees created numerous Standards for the semiconductor and solar manufacturing industries.  Collard has been instrumental in ensuring that the standards developed are relevant. He has actively recruited key players in the supply chain to contribute to development efforts, making certain that the published Standards reflect the true needs of the industry.  He also served as co-chair of the European Regional Standards Committee (ERSC) from 2009 to 2013, steering the ERSC through difficult economic times. As ERSC co-chair, Collard was also an International Standards Committee member, and provided valuable, practical input for new proposals, including the current effort to establish virtual meetings.

Collard earned his Master’s degree and Ph.D. in analytical chemistry from the University of Liege, Belgium. He joined Solvay in 1988 and has worked in Belgium, France, and the United States.

Merit Award

The Merit Award recognizes a Standards volunteer major contributions to the semiconductor industry through the SEMI Standards Program. Award winners typically take on a complex problem at the task force level, gain industry support, and drive the project to completion. Matt Milburn of UCT established the Surface Mount Sandwich Component Dimensions Task Force, within the North America Chapter of the Gases Committee, in April 2013 to develop standards for “sandwich” components (components located between substrate and another component). At the time of Task Force formation, these components did not have dimensional standards in place and varied by each manufacturer, resulting in interchangeability issues between manufacturers of functionally equivalent components.  Milburn addressed this problem by leading the successful development of ballot 5595, Specification for Dimensions of Sandwich Components for 1.125 Inch Type Surface Mount Gas Distribution Systems, which was recently approved by the Gases Committee and will be published as SEMI C88-0715.

Leadership Award

The Leadership Award recognizes volunteers who have demonstrated outstanding leadership in guiding the SEMI Standards Program. This Award is presented to individuals who have strengthened the Program through member training, mentoring, and new member recruitment. Frank Parker of ICL Performance Products and Frank Flowers of PeroxyChem have co-chaired the North America Chapter of the Liquid Chemicals Committee for over ten years. During this time, Parker and Flowers have overseen the development of new specifications and analytical test methods for liquid chemicals while keeping the extensive catalog of previously developed liquid chemical standards up-to-date with current industry needs. Their experience and patience has been critical in transforming new volunteers into productive committee contributors, effectively guiding them through the standardization process and minimizing wasted efforts.

Honor Award

The Honor Award is presented to an individual who has demonstrated long-standing dedication to the advancement of SEMI Standards. Dr. Jaydeep Sinha of KLA-Tencor has contributed to the Silicon Wafer Committee for over 15 years and has led the development of numerous metrology standards. In addition to leading the Advanced Wafer Geometry Task Force, Sinha organized several SEMI Standards workshops around the world, recruiting technologists from leading device makers, equipment suppliers, and consortia to educate local audiences on recent developments and future needs in wafer geometry. Sinha also actively works to keep the Silicon Wafer Committee familiar with oncoming industry trends, frequently inviting industry experts to speak at committee meetings on hot topics.

Corporate Device Member Award 

The Corporate Device Member Award recognizes the participation of the user community and is presented to individuals from device manufacturers. Dr. Jan Rothe of GLOBALFOUNDRIES is this year’s recipient. Rothe has been active in SEMI Standards since the mid-2000s, and has led the International E84 (Specification for Enhanced Carrier Handoff Parallel I/O Interface) Revision Task Force since 2007. Rothe’s consistent participation in the Physical Interfaces and Carriers Committee and feedback on ballot proposals has ensured that the customer perspective is reflected in all committee output.

SEMI today announced that Stephen S. Schwartz, CEO of Brooks Automation, and Toshikazu Umatate, senior vice president and general manager of the Semiconductor Lithography Business at Nikon Corporation, were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Four current board members were re-elected for a two-year term: Bertrand Loy, president and CEO of Entegris; Dave Miller, president of DuPont Electronics & Communications; Kyu Dong Sung, CEO of EO Technics; and Xinchao Wang, chairman and CEO of JCET.

Additionally, the SEMI Executive Committee confirmed Yong Han Lee, chairman of Wonik as SEMI Executive Committee chairman, and Tetsuo Tsuneishi, chairman of the Board of Tokyo Electron, Ltd. as SEMI vice-chairman.

The leadership appointments and the elected board members’ tenure become effective at the annual SEMI membership meeting on July 15, during SEMICON West 2015 in San Francisco, California.

“These two distinguished industry leaders will be tremendous assets to the SEMI Board of Directors,” said Denny McGuirk, president and CEO of SEMI. “We also appreciate the continued service of those re-elected to the Board their counsel and wisdom is valued as SEMI responds to new industry challenges, inflections, and opportunities.”

SEMI’s 19 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.