Category Archives: Displays

Different forecasting algorithms are highlighted and a framework is provided on how best to estimate product demand using a combination of qualitative and quantitative approaches.

BY JITESH SHAH, Integrated Device Technology, San Jose, CA

Nothing in the world of forecasting is more complex than predicting demand for semiconductors, but this is one business where accurate forecasting could be a matter of long-term survival. Not only will the process of forecasting help reduce costs for the company by holding the right amount of inventory in the channels and knowing what parts to build when but implementing a robust and self-adaptive system will also keep customers happy by providing them with products they need when they need. Other benefits include improved vendor engagements and optimal resource (labor and capital) allocation.

Talking about approaches…

There are two general approaches to forecasting a time-based event; qualitative approach and quantitative or a more numbers-based approach. If historical time-series data on the variable of interest is sketchy or if the event being forecasted is related to a new product launch, a more subjective or expert-based predictive approach is necessary, but we all intui- tively know that. New product introductions usually involve active customer and vendor engagements, and that allows us to have better control on what to build, when, and in what quantity. Even with that, the Bass Diffusion Model, a technique geared towards helping to predict sales for a new product category could be employed, but that will not be discussed in this context.

Now if data on past information on the forecasted variable is handy and quantifiable and it’s fair to assume that the pattern of the past will likely continue in the future, then a more quant-based, algorithmic and somewhat automated approach is almost a necessity.

But how would one go about deciding whether to use an automated approach to forecasting or a more expert-based approach? A typical semiconductor company’s products could be segmented into four quadrants (FIGURE 1), and deciding whether to automate the process of forecasting will depend on which quadrant the product fits best.

Figure 1

Figure 1

Time series modeling

Past shipment data over time for a product, or a group of products you are trying to forecast demand for is usually readily available, and that is generally the only data you need to design a system to automate the forecasting process. The goal is to discover a pattern in the historical, time-series data and extrapolate that pattern into the future. An ideal system should be built in such a way that it evolves, or self-adapts, and selects the “right” algorithm from the pre-built toolset if shipment pattern changes. A typical time-series forecasting model would have just two variables; an independent time variable and a dependent variable representing an event we are trying to forecast.

That event Qt (order, shipment, etc.) we are trying to forecast is more or less a function of the product’s life-cycle or trend, seasonality or business cycle and randomness, shown in the “white board” style illustration of FIGURE 2.

Figure 2

Figure 2

Trend and seasonality or business cycle are typically associated with longer-range patterns and hence are best suited to be used to make long-term forecasts. A shorter-term or horizontal pattern of past shipment data is usually random and is used to make shorter-term forecasts.

Forecasting near-term events

Past data exhibiting randomness with horizontal patterns can be reasonably forecasted using either a Naïve method or a simple averaging method. The choice between the two will depend on which one gives lower Mean Absolute Error (MAE) and Mean Absolute % Error (MAPE).

Naïve Method The sample table in FIGURE 3 shows 10 weeks’ worth of sales data. Using the Naïve approach, the forecasted value for the 2nd week is just what was shipped in the 1st week. The forecasted value for the 3rd week is the actual sales value in the 2nd week and so on. The difference between the actual value and the forecasted value represents the forecast error and the absolute value of that is used to calculate the total error. MAE is just the mean of total error. A similar approach is used to calculate MAPE, but now each individual error is divided by the actual sales volume to calculate % error, which are then summed and divided by the number of forecasted values to calculate MAPE.

Figure 3

Figure 3

Averaging Instead of using the last observed event and using that to forecast the next event, a better approach would be to use the mean of all past observations and use that as the next period’s forecast. For example, the forecasted value for the 3rd week is the mean of the 1st and 2nd week’s actual sales value. The forecasted value for the 4th week is the mean of the previous three actual sales values, and so on (FIGURE 4).

Figure 4

Figure 4

MAE and MAPE for the Naïve method are 4.56 and 19% respectively, and the same for the averaging method are 3.01 and 13% respectively. Right there, one can conclude that averaging is better than the simple Naïve approach.

Horizontal Pattern with Level Shift But what happens when there is a sudden shift (anticipated or not) in the sales pattern like the one shown in FIGURE 5?

Figure 5

Figure 5

The simple averaging approach needs to be tweaked to account for that, and that is where a moving average approach is better suited. Instead of averaging across the entire time series, only 2 or 3 or 4 recent time events are used to calculate the forecast value. How many time periods to use will depend on which one gives the smallest MAE and MAPE values and that can and should be parameterized and coded. The tables in FIGURE 6 compare the two approaches, and clearly the moving average approach seems to be a better fit in predicting future events.

Figure 6

Figure 6

Exponential Smoothing But oftentimes, there is a better approach, especially when the past data exhibits severe and random level shifts.

This approach is well suited for such situations because over time, the exponentially weighted moving average of the entire time series tends to deemphasize data that is older but still includes them and, at the same time, weighs recent observations more heavily. That relationship between the actual and forecasted value is shown in FIGURE 7.

Figure 7

Figure 7

Again, the lowest MAE and MAPE will help decide the optimal value for the smoothing constant and, as always, this can easily be coded based on the data you already have, and can be automatically updated as new data trickles in.

But based on the smoothing equation above, one must wonder how the entire time series is factored in when only the most recent actual and forecasted values are used as part of the next period’s forecast. The math in FIGURE 8 explains how.

Figure 8

Figure 8

The forecast for the second period is assumed to be the first observed value. The third period is the true derived forecast and with subsequent substitu- tions, one quickly finds out that the forecast for nth period is a weighted average of all previous observed events. And the weight ascribed to later events compared to the earlier events is shown in the plot in FIGURE 9.

Figure 9

Figure 9

Making longer term forecasts

A semiconductor product’s lifecycle is usually measured in months but surprisingly, there are quite a few products with lifespans measured in years, especially when the end applications exhibit long and growing adoption cycles. These products not only exhibit shorter-term randomness in time-series but show a longer-term seasonal / cyclical nature with growing or declining trend over the years.

The first step in estimating the forecast over the longer term is to smooth out some of that short- term randomness using the approaches discussed before. The unsmoothed and smoothed curves might resemble the plot in FIGURE 10.

Figure 10

Figure 10

Clearly, the data exhibits a long-term trend along with a seasonal or cyclical pattern that repeats every year, and Ordinary Least Square or OLS regression is the ideal approach to forming a function that will help estimate that trend and the parameters involved. But before crunching the numbers, the dataset has to be prepped to include a set of dichotomous variables representing the different intervals in that seasonal behavior. Since in this situation, that seasonality is by quarters representing Q1, Q2, Q3 and Q4, only three of them are included in the model. The fourth one, which is Q=2 in this case, forms the basis upon which to measure the significance of the other three quarters (FIGURE 11).

Figure 11

Figure 11

The functional form of the forecasted value by quarter looks something like what’s shown in FIGURE 12.

Figure 12

Figure 12

The intercept b0 moves up or down based on whether the quarter in question is Q2 or not. If b2, b3 and b4 are positive, Q2 will exhibit the lowest expected sales volume. The other three quarters will show increasing expected sales in line with the increase in the respective estimated parameter values. And this equation can be readily used to reasonably forecast an event a few quarters or a few years down the road.

So there you have it. This shows how easy it is to automate some features of the forecasting process, and the importance of building an intelligent, self- aware and adaptive forecasting system. The results will not only reduce cost but help refocus your supply-chain planning efforts on bigger and better challenges.

JITESH SHAH is a principal engineer with Integrated Device Technology, San Jose, CA

SEMI, the global industry association for companies that supply manufacturing technology and materials to the world’s chip makers, today reported that worldwide semiconductor manufacturing equipment billings reached US$9.52 billion in the first quarter of 2015. The billings figure is 7 percent higher than the fourth quarter of 2014 and 6 percent lower than the same quarter a year ago. The data is gathered jointly with the Semiconductor Equipment Association of Japan (SEAJ) from over 100 global equipment companies that provide data on a monthly basis.

Worldwide semiconductor equipment bookings were $9.66 billion in the first quarter of 2015. The figure is 2 percent lower than the same quarter a year ago and 3 percent lower than the bookings figure for the fourth quarter of 2014.

The quarterly billings data by region in billions of U.S. dollars, quarter-over-quarter growth and year-over-year rates by region are as follows:

Region

1Q2015

4Q2014

1Q2014

1Q15/4Q14

(Q-o-Q)

1Q15/1Q14

(Y-o-Y)

Korea

2.69

2.09

2.03

29%

33%

Taiwan

1.81

2.03

2.59

-11%

-30%

North America

1.47

1.83

1.85

-19%

-20%

Japan

1.26

1.11

0.96

13%

31%

China

1.17

0.68

1.71

73%

-32%

Europe

0.69

0.58

0.58

19%

19%

Rest of World

0.43

0.59

0.42

-27%

1%

Total

9.52

8.91

10.15

7%

-6%

Source: SEMI/SEAJ June 2015; Note: Figures may not add due to rounding.

Organic semiconductors now offer the performance, cost and route to adoption, for foldable displays; from ultra-thin, conformal, wearables to truly foldable smartphones and tablets.

BY DR. MICHAEL COWIN, SmartKem Ltd, St Asaph, Wales

Buoyed by consumer demand for fresh innovation and fierce industry competition, the display industry exists in a cycle of continuous improvement.

Today a new breed of semiconductors – a key enabling component in the evolution of active matrix displays – are competing to offer manufacturers a route to the production of high performance curved, foldable and even roll-able displays.

There are two key factors that define the impact and adoption of any new enabling technology like this; namely how will it perform and what will be the cost.

This article demonstrates that the performance of organic thin-film transistors (OTFT) for display backplane application has reached a tipping point into market adoption. OTFTs are now equal and arguably greater than competitive technology solutions while also offering ultra-flexibility and a significant cost advantage in production and ownership over the more traditional inorganic equivalents. OTFTs are now a serious contender to fill a critical gap in the market for high performance, ultra-flexible TFT backplanes to drive the next generation of conformal displays.

At first, low-temperature polysilicon (LTPS) was considered the most likely solution to replace hydro-genated amorphous silicon (a-Si:H) as the TFT channel layer for rigid flat panel display backplanes, until the advent of indium gallium zinc oxide (IGZO). While the vastly superior mobility of LTPS gave uplift in mobility over traditional a-Si TFT, it came at a price of significantly higher manufacturing costs through high CAPEX, complicated processing and much lower yields, some of which were as low as 20% in early 2014.[1]

However, the recent aggressive drive to manufacture OLED, EPD and LCD display products with new form factors so they are lightweight, conformal or flexible has placed new challenging demands on the TFT material characteristics. This has allowed new technology platforms such as OTFTs to enter into the supply chain to compete head on with LTPS and IGZO as a TFT channel material based on the same metrics of performance and cost.

Electrical performance: It’s all about power

While a semiconductor technology’s cost of ownership outlines the market entry opportunities, no TFT platform will even be considered a viable alternative to incumbent semiconductors unless it meets, and surpasses key criteria. When defining these criteria it is vital that context to the end application and how this might improve the user experience is considered. Power consumption is one such aspect becoming critical in defining the battery life of mobile and wearable displays and any new TFT channel material, such as OTFT needs to demonstrate either equal or better performance to add value to the user experience in end product form.

Screen Shot 2015-06-09 at 3.22.19 PM

The progression from a-Si semiconductors to alternative materials for rigid displays was originally driven by the charge carrier mobility bottleneck, as manufacturers tried to move to higher resolution active matrix LCD displays. The same requirement exists for AMOLED displays, and as such a parallel can be drawn to the arguments for and against the competing materials systems, but with the increasingly important necessity for physical flexibility.

Each semiconductor platform has its own advantages and disadvantages. For instance while LTPS has a very high carrier mobility it could be debated whether it’s necessary in the average pixel driver circuit for a high quality LCD or OLED display where a mobility of 5-10 cm2/V.s is more than adequate. Indeed IGZO and the latest generation of OTFTs meet this requirement with ease. In contrast TFT electrical (bias stress) stability is an issue with IGZO, usually resulting in more complexity in the TFT drive circuitry for each pixel to compensate for this short coming. From a general perspective each of the above mentioned three contenders are more than suitable as a channel semiconductor. However, these options also need to be considered in context; which of these offers the potential to add real uplift in the user experience at a price point the market will accept? Most displays today are mobile-enabled and are soon to become wearable with the advent of the smartwatch. The power consumption of these displays and its impact on battery life may well be a defining factor in the choice of TFT channel semiconductor for many manufacturers.

An important contribution to this argument was made by Sharp with the introduction to the market of IGZO. Sharp highlighted the importance of TFT leakage current which led to a clearer understanding of the mechanisms responsible for these leakage currents. The causes are found to be predominantly dependent on the smoothness of the interface between the insulator and the channel semiconductor.

So while LTPS has a rough polycrystalline surface its leakage current is higher; IGZO in contrast has smooth amorphous surfaces at this key interface and as such much lower leakage currents.

The context of lower leakage currents is that it will become a very desirable quality since less current is dissipated when the TFT is off and as such the TFT switch capacitor/s can retain an internal charge for a longer period of time. Thus the display refresh rate can be reduced which leads to a potentially dramatic reduction in power consumption – especially for displays that will have static images – ideal for wearable and mobile based displays. As such IGZO has a clear advantage over LTPS for this display based application.

However, recent advances in OTFT technology reported here for the first time show the potential for low leakage currents equivalent to IGZO; but achieved using OTFTs. By designing into solution based organic semiconductor ‘inks’ the preferred features of the single- crystal organic semiconductor combined with semiconducting polymers or ‘binders’ an amorphous semiconductor layer can be achieved. This material combination offers the high mobility of single crystals but with highly uniform processing charac- teristics required for device uniformity. Furthermore, the amorphous nature of these materials offers very smooth interfaces between the solution processed insulator and solution processed semiconductor.

The results in FIGURE 1 demonstrate that the low leakage current levels achieved by a single gate OTFT. This could be lowered further by use of a dual gate OTFT stack as with commercial IGZO TFTs.

FIGURE 1. TEM of copper hillocks

FIGURE 1. TEM of copper hillocks

Therefore OTFTs represent serious competition to IGZO as a channel material in the context for application to wearable and mobile displays for extended battery life. Coupled with the further advantages of excellent bias stress stability and low temperature processing, the case for OTFT adoption rather than IGZO becomes more attractive from a performance perspective.

Physical performance: The foldable frontier

Recently there have been a number of commercial products launched based on curved AMOLED displays such as the Galaxy Round, LG G Flex and Galaxy Note Edge with curved features (and slight flex in the case of the G Flex), all based on LTPS TFT backplanes on plastic. When the user context is taken into account it could be suggested that these products have not offered much value differentiation from glass based equivalent devices.

As such the real ‘wow’ factor in the consumer experience or user value-add has yet to be achieved.

Next generation smart and wearable technology will come with the introduction of flexible and foldable devices such as wearables, smartphones and tablets; but this demands a semicon- ductor platform with entirely new physical properties and a form factor capability which in turn raises a unique set of challenges for traditional and new TFT technologies to overcome.

The current limiting factor is the inability of LTPS and IGZO technologies to offer robust and acute bend capability in TFT form. Even with the use of exotic and expensive strain management layering techniques the maximum bend radius of these technologies have hit a roadblock at around 5 mm.

To genuinely offer a differentiated product with a compelling value-add proposition to the consumer experience, manufacturers must turn to the use of material technologies that enable truly foldable mobile devices or fully bendable, robust and light- weight smartwatches (FIGURE 2). The solution to the limitations presented by LTPS and IGZO in bend capability is the use of OTFTs. It has long been understood that the polymeric nature of OTFTs is ideally suited for bendable applications, and it has widely been reported that products such as Smart- Kem’s tru-FLEX® can withstand 10,000 bends below 1mm with minimal effect on device performance. As such OTFT technology is now considered a key enabler for a wide range of highly robust bendable and foldable display based products; and the market timing could not be better with the recent upturn in demand for smartwatch based products.

FIGURE 2: Display form factor dependency on bend radius.

FIGURE 2: Display form factor dependency on bend radius.

In the context of performance it may be suggested that while the initial market entrants in curved display products have been manufactured with LTPS, and that there is further development potential in the IGZO platform, a complete technology solution already exists – OTFT.

The OTFT technology platform offers the transistor performance for exciting new applica- tions while also holding two ‘aces’ when it comes to product-specific performance for this new generation of wearable and mobile displays; low leakage for significant battery life extension and ultra-flexibility for foldable mobile devices and bendable smart- watches.

How much will it cost?

Beyond the performance benefits of OTFTs, a commercially viable TFT channel semicon-
ductor must provide favourable characteristics for integration into a robust and cost-effective semiconductor manufacturing process. The savings in manufacturing costs compared with inorganic materials as well as the low risk approach of re-purposing existing a-Si production lines to pilot OTFT backplanes on plastic is an appealing prospect.

One of the major advantages of organic semiconductors comes from their ease of application. Solution based semiconductor inks can be applied to substrates through a range of additive processes and print production systems such as slot dye coating as well as low temperature process (FIGURE 3). Although modern organic semiconductors are stable up to 300°C the ease by which these solution-based materials can be processed at low temperatures offers manufacturers a wide range of cost effective stack materials and substrates, and easier bond/de-bond and inter-layer alignment due to less expansion and contraction. This all adds up to significantly improving production yield (over high temperature processing) and thereby reducing production costs over any area of substrate.

FIGURE 3. Commercial organic semiconductors, such as SmartKem’s tru-FLEX® material, offer a total technology solution, combining high performance mobility, low temperature processing and true flexibility.

FIGURE 3. Commercial organic semiconductors, such as SmartKem’s tru-FLEX® material, offer a total technology solution, combining high performance mobility, low temperature processing and true flexibility.

An independent study has been commissioned by SmartKem comparing the cost of key features within the TFT stack that would show the maximum variance between technology platforms; the semiconductor and gate dielectric layer. This will ensure a complete understanding of the difference in the cost of ownership and cost of production for the alternate TFT channel materials for backplane manufacture for flexible displays.

The four technology platforms chosen for the TFT array devices were: a-Si, LTPS, IGZO and SmartKem’s OTFT semiconductor tru-FLEX®. The overall cost of TFT device manufacture included manufacturing overheads to produce the two layers, depreciation of equipment (amortized over five years of production of 1.8 million substrates) and the direct materials costs.

The CAPEX for each fabrication process is determined from the type and quantity of equipment needed for producing the semiconductor and gate insulator layers with an assumed input capacity of 30,000 substrates per month. In this study, the assumed equipment and materials are shown in Table 2. The summary findings of the on-going study have shown the cost of manufacturing TFT arrays with organic semiconductors is almost half that of LTPS and a third lower than a-Si and IGZO. The most significant findings (to be published in a white paper) were that the manufacturing overheads and depreciation costs for OTFT were ten times less than LTPS and four times less than a-Si and IGZO.

Screen Shot 2015-06-09 at 3.25.26 PM

It was found that the depreciation cost of production for a ‘greenfield’ OTFT line is vastly smaller than competing technologies and could be further reduced by the re-purposing of an a-Si production line; OTFTs thus offer an easy route to adoption for the cost-down manufacture of superior performance flexible TFT backplanes.

The future is organic

The value proposition of organic semiconductors now makes sense to an industry eager for differentiated products that can be adopted and scaled with low risk. From a performance and cost perspective the immediate value-add to the consumer is longer battery life and fully foldable mobile displays. While the cost of production is reduced with OTFT, the extremely low cost of ownership offers a low risk industrialization strategy through the building of a ‘greenfield’ line or by the re-purposing of an existing a-Si line.

One of the most exciting and eagerly awaited outputs of this rapid evolution in material perfor- mance and cost is the advancement and commercialization of bendable and foldable displays. From ultra-thin, conformal, wearables to truly foldable smartphones and tablets, organic semiconductors now offers the performance, cost and route to adoption for the manufacture of a new generation of OLED, EPD and LCD displays with entirely new physical properties and form factors.

References

1. http://www.displaysearchblog.com/2014/08/waiting-for-the-apple-iwatch/

DR MICHAEL COWIN is Head of Strategic Marketing, SmartKem Ltd., St Asaph, Wales

BY DR. RANDHIR THAKUR, Executive Vice President, General Manager, Silicon Systems Group, APPLIED MATERIALS, INC

For 50 years, Moore’s Law has served as a guide for technologists everywhere in the world, setting the pace for the semiconductor industry’s innovation cycle. Moore’s Law has made a tremendous impact not only on the electronics industry, but on our world and our everyday life. It led us from the infancy of the PC era, through the formative years of the internet, to the adolescence of smartphones. Now, with the rise of the Internet of Things, market researchers forecast that in the next 5 years, the number of connected devices per person will more than double, so even after 50 years we don’t see Moore’s Law slowing down.

As chipmakers work tirelessly to continue device scaling, they are encountering daunting technical and economic hurdles. Increasing complexity is driving the need for new materials and new device architectures. Enabling these innovations and the node-over-node success of Moore’s Law requires advance- ments in precision materials engineering, including precision films, materials removal, materials modification and interface engineering, supported by metrology and inspection.

Though scaling is getting harder, I am confident Moore’s Law will continue because equipment suppliers and chipmakers never cease to innovate. As we face the increasing challenges of new technology inflections, earlier engagement in the development cycle between equipment suppliers and chipmakers is required to uncover new solutions. Such early and deep collaboration is critical to delivering complex precision materials engineering solutions on time. In fact, in the mobility era, earlier and deeper collaboration across the entire value chain is essential (applications, system/hardware, fabless, foundry/IDM, equipment supplier, chemical supplier, component supplier, etc.) to accelerate time to market and extend Moore’s Law.

Today, new 3D architectures, FinFET and 3D NAND, are enabling the extension of Moore’s Law. Dense 3D structures with high aspect ratios create fundamental challenges in device manufacturing. Further, the industry has shifted much of its historical reliance from litho-enabled scaling to materials-enabled scaling, requiring thinner precision films with atomic-scale accuracy. The emphasis on thin conformal films, which can be 2000 times smaller than a human hair, makes it increasingly critical to engineer film properties and manage film interactions between adjacent film surfaces. Selective processing is also a growing requirement, particularly for the deposition and removal of films. We expect more selective applications beyond Epitaxy and Cobalt liner deposition. There will also be a major expansion of new materials in addition to the key inflection of high-k metal gate that helped to reduce power leakage issues associated with scaling.

Gordon Moore’s prediction that ignited an industry will continue to influence our way of life through a combination of architecture and material changes. New process designs and new ways to atomically deposit materials are needed. More processes will be integrated on the same platform without vacuum breaks to create pristine interfaces. As an equipment supplier, we have to manage longer R&D cycles to support the industry’s roadmap, and plan for faster ramp and yield curves. Of utmost importance is staying close to our customers to ensure we deliver solutions with the desired economic and technical benefits.

Looking at the electronics industry from where it is today out to 2020, many more devices will be in use, the world will be more connected and, particularly in emerging markets, there will be greater consumer appetite for more products with advanced features. Given these transformations and demand, I think the growth and excitement in our industry will continue for many more years, thanks to Moore’s Law.

How gases are used in the manufacture of displays is being impacted by new technologies, consumer demand, and the burgeoning China market.

BY EDDIE LEE, Linde Electronics, Hsin Chu, Taiwan

While the display market is no longer enjoying double-digit annual growth rates, it is experiencing resurgence due to increasing customer demands for larger flat-panel displays, OLED and 4K technology, ultra-slim form factor, curved and wearable displays, automotive displays, and more. This growth is particularly conspicuous in China, a late comer to the market, which is now the fastest growing region in display manufacturing.

These new technologies and markets require very large quantities of ultra-high purity bulk and electronic specialty gases and a dependable supply chain for these gases. This article will explore the impact of these technologies, consumer demand, and the burgeoning China market on the gases used in the manufacture of display.

Display market

According to IHS DisplaySearch, in 2014 the global display market saw revenue of $134 billion and is expected to grow 6% in 2015. The demand is being driven in large part due to new technologies and new uses for existing display technologies such as 4K, OLED, curved, and flexible displays.

Gases used in display

This love affair that consumers have of interacting with devices large and small not only increases the volume of displays to be manufactured, it also increases the volume of gases needed to make the displays. In the 20 years since the initial development and commercialization of the first Thin Film Transistor (TFT) LCD display panel, the gases market for the display sector has grown to around $450 million.

As shown in FIGURE 1, display manufacturing today uses a wide variety of gases, which can be categorized into two types: Electronic specialty gases (ESGs) and Electronic bulk gases (EBGs).

Displays 1 Displays 1-2

 

FIGURE 1. Market breakdown for the two types of gases used in display manufacturing. 

 

Screen Shot 2015-06-10 at 1.57.34 PM

Electronic specialty gases (ESGs)

Silane, nitrogen trifluoride, fluorine (on-site generation), sulfur hexafluoride, ammonia, and phosphine mixtures make up 52% of the gases used in the manufacture of displays and are available in both cylinder and bulk supply.

Of the major countries that manufacture displays, Taiwan and China import most of their ESGs while Korea and Japan have robust domestic production of ESGs.

Silane: SiH4 is one of the most critical molecules in flat panel manufacturing. Silane is used for deposition of amorphous Si (silicon), the most critical layer in the TFT transistor.

Nitrogen trifluoride: NF3 is the single largest Electronic Material from spend and volume stand- point for flat panel display (FPD) production. NF3 is used for cleaning the PECVD (plasma-enhanced chemical vapor deposition). This gas requires scalability to get the cost advantage necessary for the highly competitive market. Over 70% of the global capacity of NF3 comes from Korea and Japan.

Screen Shot 2015-06-09 at 2.52.37 PM

Electronic bulk gases (EBGs)

Nitrogen, hydrogen, helium, oxygen, carbon dioxide, and argon make up 48% of the gases used in the manufacture of displays.

Nitrogen: For a typical large TFT-LCD fab, nitrogen demand can be as high as 30,000 Nm3/ hour so an on-site generator, such as the Linde SPECTRA®-N 30,000, is a cost-effective solution that has the added benefit of an 8% reduction in CO2 footprint over conventional nitrogen plants.

Helium is used for cooling the glass during and after processing. Manufacturers are looking at ways to decrease the usage of helium because of cost and availability issues due it being a non-renewable gas.

New technologies and implications for gases

Currently about 20% of smartphones – the ones with lower resolution displays – use a-Si display process. Higher resolution devices and new effects such as curved displays require higher performance transistors and improvements in electron mobility. This can be achieved by switching from amorphous silicon (a-Si) transistors to low temperature polysilicon (LTPS) or metal oxide (MO), also known as transparent amorphous oxide semiconductor (TAOS).

LTPS is used in about 44% of high-end LCD smart- phone displays as it has the highest performance. Due to its higher costs and scalability limitations, LTPS is less suited for large screen displays

Small displays with very high pixel resolution are produced with LTPS. High-definition large displays can be made using MO. Metal oxide semiconductors can remain in an active state longer than traditional LCD and can cut power consumption by up to 90%, which is a huge benefit.

New process requirements

Metal Oxide TFT and LTPS: To meet the changes in technology, N2O, C2HF5, C4F8, BF3, and laser gases are replacing or at least reducing the requirement of NH3, BCl3, and SiH4.

The use of N2O is expected to double from 5,000 TPA (tons per annum) in 2013 to 10,000 TPA in 2017. Why nitrous oxide? The move from a-Si to MO requires a change in the TFT device structure where the a-Si layers (g-SiNx, a-Si, n+) are being replaced by the MO layers (g-SiOx + indium gallium zinc oxide). This requires a change from NH3 to high- volume, high-purity N2O.

LTPS process also uses N2O for its oxide layer deposition. In addition, LTPS uses XeCl (xenon monochloride) excimer lasers for annealing after the silicon deposition to change the silicon structure to polysilicon. High-performance laser gases, such as Ne, Xe, and Kr from Linde, are well-suited for this process.

Transparent Conductive Films (TCF) and ITO Replacements: TCFs are used in most high-tech displays and touchscreens, and particularly in displays that are bent or curved. Currently the electronics industry relies primarily on Indium Tin Oxide (ITO) to make electro-conductive films for display. ITO presents challenges: it is brittle and cracks so new TCFs are needed for structural flexibility.

New materials to potentially replace ITO are metal mesh, Ag nanowire (agNW), and carbon nanotube (CNT), which are all highly flexible with comparable transparency and resistance to ITO. Metal mesh is good for large displays, but is restricted on small and medium displays due to its wire width (typically 6 μm). AgNW demonstrates excellent transmittance and flexibility with small wire diameter (20 – 100 nm), but haze is an issue. CNT has excellent conductivity, transmit- tance, and flexibility, but the supply chain needs to be developed. Single walled carbon nanotubes (SWNT) technology from Linde uses liquid ammonia to produce solubilized carbon nanotubes in the form of inks, which can then be deposited as films and has the added benefit of zero carbon footprint.

F2 as replacement for NF3 and SF6: For a typical large TFT-LCD fab, chamber cleaning gas demand can exceed 300 tons per year. Traditionally NF3 has been used. The GWP100 (100-year Global Warming Potential) for NF3 is 17,200; for the replacement F2, the GWP100 is 0.

Switching to fluorine not only significantly reduces environmental footprint, but also leads to material cost savings and up to 50% reduction in cleaning time, increasing productivity (FIGURE 2). Fluorine can also be used to replace Sulfur hexafluoride (SF6), which is used in dielectric etching. The GWP100 for SF6 is 22,800, which surpasses that of NF3. Significant improvements in etch rate and etch uniformity have been measured with the shift to F2.

FIGURE 2. Switching to fluorine reduces environmental footprint, material costs cleaning time.

FIGURE 2. Switching to fluorine reduces environmental footprint, material costs cleaning time.

On-site fluorine generation, like that available from Linde, eliminates large-volume, high-pressure storage, and modular generators meet all flow and volume requirements for the largest scale fabs.

The China factor

Currently Korea is the leader in display manufacturing, with Taiwan and China on
its heels and Japan a distant fourth (FIGURE 3). This is changing, though, as China rapidly gains market share. China, which started in most traditional manufacturing industries as “factory to the world,” is a relative late comer in the display sector due to technology barriers.

FIGURE 3. Currently Korea is the leader in display manufacturing, with Taiwan and China on its heels and Japan a distant fourth. This is changing, though, as China rapidly gains market share. Source: IHS Displaysearch and Linde Internal.

FIGURE 3. Currently Korea is the leader in display manufacturing, with Taiwan and China on its heels and Japan a distant fourth. This is changing, though, as China rapidly gains market share. Source: IHS Displaysearch and Linde Internal.

Currently there are about five major domestic display manufacturers in China; they cater primarily to domestic mobile display and large screen markets. China has been aggressively investing in display fabs over the last five years and has gained market share from other regions.

It is expected that China will account for more than 50% of display capacity investment in the next four years. China capacity is expected to double with aggressive investments especially in the leading technology Low Temperature Polysilicon (LTPS) and Metal Oxide (MO).

Gas supply issues in China

Bulk gases are produced in China, mostly by large international gas companies. There are domestic producers of some ESGs (NH3, N2O, and SF6); other gases currently are mostly imported.

Silane (SiH4): Silane, primarily extracted as an interim process gas during poly silicon production, is one of the most critical molecules in FPD manufacturing. Chinese producers have a very small capacity of silane as they entered the market late. Considering the need for extensive qualification, technical support to achieve that, and the lack of scalable production base, local Chinese poly silicon producers are not able to offer a complete package and thus China still imports more than 80% of its silane and produces locally only 2% of the global capacity of silane.

The current consumption of silane in China display manufacturing is about 300 TPA, which is 7.5% of the global demand, and is expected to double in the next four years. Considering the complexity of the supply chain, import regulations, and storage requirements, companies are actively moving towards local transfilling and analytical capability.

Nitrogen trifluoride (NF3): Similar to silane, the China display manufacturing consumption of NF3 is expected to double to greater than 2000 TPA in the next four years. Considering the volume used and spend on NF3 and the rapid expansion of FPD manufacturing in China, more production will be done locally to minimize customs duties and to support domestic sourcing requirements. NF3 is relatively easy to qualify for chamber cleaning, but ISO supply to large customers is the biggest challenge since most producers do not have large-scale production and equipped facilities to make NF3 cost-effective to make. This is a major area of investment for local producers.

LTPS, Metal Oxide, and the Increase in Demand for N2O: N2O is a regional and localized product due to its low cost, making long supply chains with high logistic costs unfeasible. Currently, in the region, Korea manufactures about 63% of high-purity N2O, Taiwan about 30%, and China only about 7%. As China leap frogs its display industry into the cutting- edge metal oxide, or LTPS nodes, the demand for N2O will triple from its current requirement to 3,000 TPA in 2017 with the adoption of LTPS and MO.

Enablers of the growth of the China display industry

The key priorities for materials manufacturers to enable the growth of the China display industry are:

  • Commitment to invest in local infrastructure such as as on-site bulk gas plants
  • Localization of production facilities for high-purity gas and chemical manufacturing
  • Collaboration with global materials suppliers for development of new materials

Conclusion

To accommodate the boundless appetite that consumers have for the latest, most innovative, and highest definition displays – both large and small – display manufacturers must partner with gas suppliers to:

  • Identify the most appropriate gas and display technology match-up
  • Globally source electronic materials to provide customers with stable and cost-effective gas solutions
  • Develop local sources of electronic materials
  • Improve productivity
  • Reduce carbon footprint and increase energy efficiency through on-site gas plants

EDDIE LEE is Head of Global Market Development and OEMs Display, Linde Electronics, Hsin Chu, Taiwan

A new, low pH, BTA free, noble-bond chemistry produced equivalent yield at substantially lower costs.

BY CHRISTOPHER ERIC BRANNON, Texas Instruments, Dallas, TX

The 2010 economic downturn affected many industries, semiconductor manufacturing notwithstanding. Many fabrication facilities had to layoff employees and curtail spending, all the while managing lower wafer output. This effect caused many semiconductor companies to rethink how they spend on resources. Everything was considered, from the cost of the wafers to the cost of the tool consumables and chemistries.

Texas Instruments (TI) copper chemical-mechanical planarization (Cu CMP) was no different. All spending had to be reduced and copper hillock defect had to
be eliminated. The CMP Team proposed developing a process based on the new third generation clean chemistry on the market for a number of economic and logistical reasons. The first rationale for this strategy was cost and second was time – most of the clean chemis- tries on the market were considerably cheaper than the current process of record (POR). CMP had also seen many defects due to via-to-via shorts caused by Cu hillocking (localized Cu protrusion into the above interlayer dielectric; see FIGURE 1).

FIGURE 1. TEM of copper hillocks [1].

FIGURE 1. TEM of copper hillocks [1].

A successful Cu cleaning CMP process

There were two key reasons that TI succeeded in developing a Cu cleaning process: detailed engineering work and strong vendor support. Process development went through four generations of refinement before it was ready for high volume manufacturing. The first version focused on new clean chemistry improvements such as third generation low pH, high acid clean chemistry and an array of design of experiments (DOE) continuous improvement through optimization of the process controls and equipment modification followed in the second. The third generation attempted to adapt an existing Mirra-Desica process using a previous qualified process. A final successful attempt was made during the fourth cycle to develop a lower cost, higher throughput multi-copper platen cleaning process using a commercial chemistry from Air Products, COPPEREADY®CP72B. This paper will discuss the work that went into building TI’s successful Cu cleaning CMP process.

TI Cu CMP

Neutral pH clean chemistries using Benzotriazole (BTA) were the first generation application on most Cu CMP dual damascene back end of the line process at TI. This was dependent on using dry-in wet-out Cu CMP AMAT tools with spray acid Vertec hoods for cleaning and drying. It was also very high in cost and low in consumable life compared to most conventional CMP clean process (e.g. Tungsten, STI, Oxide). The TI POR was no different, a first generation Cu clean using three different chemistries, BTA, Electra Clean and ESC774TM. These chemistries were very expensive to use and were not very efficient at cleaning or passivating the polished copper surface. They were able to passivate the copper surface but were prone to leave many types of incompatible carbon residue defects on the wafers. Cu hillocking was very prevalent with this type of cleaning solution and via-to-via shorts in the back end of the line (BEOL) were the top defect pareto for TI.

Clean chemistry identification

To reduce the time to develop a new Cu CMP clean process, most of the development cycle focused on Cu cleans leveraging a Mirra-Desica DIDO Cu polishing process using existing pads, conditioning pucks, and heads. Early on, it was decided that to achieve maximum throughput, the wafers would need to be processed through the tool’s onboard scrubber and dry station as quickly as possible. With time running out, the Cu CMP team had contacted the major players in Cu clean chemistry to obtain their specific information and prepare a white paper screening to determine the correct path. The four candidates were evaluated on chemistry type, makeup, pH, passivation (BTA), cost, and compatibility to our current Cu and barrier slurry. Two of the chemistries fit the bill for the criteria and were selected for further testing. Chemistry 1 was a novel approach for Cu CMP and was from our current clean chemistry vendor, Chemistry 2 was similar to the current TI process of record.

The initial criteria used to judge the chemistries were blanket test wafer performance (Cu, Teos, Ta, and Nitride): etch rate, passivation, cleaning tunability via recipe parameter windowing, and defectivity. Experimental designs were run on the basic process controls with these chemistry’s with respect to the polish process: carrier speed, table speed, down-force, carrier position, carrier oscillation, and chemical flow. Both cleans performed well on the blanket experiments and were advanced to short loop, patterned wafer tests. These patterned wafer tests were used to study product behavior in the polisher and brush cleaner. A significant amount of time was spent adjusting recipe parameters to eliminate defects. The team contacted both vendors to do lifetime experiments with consumables at their facilities. The data that was collected revealed many issues with each candidate, one more so than the other (FIGURE 2).

FIGURE 2. Charts of Cu CMP defects showing effects of new clean chemistry.

FIGURE 2. Charts of Cu CMP defects showing effects of new clean chemistry.

Chemistry A was a second generation Cu clean that had high pH but had chemical additives that would aid in cleaning, still a very basic approach to wafer cleaning. The overall defectivity was sufficient on the product test wafers but would degrade after a short time window after polish. It also had to be paired with another chemistry to achieve the same Cu passivation as the POR. This chemistry was disqualified due to this reason.

Chemistry B is a third generation Cu clean that had low pH (about ~2.1) and it is BTA-free, unlike any other Cu cleans on the market at that time. This chemistry is an organic acid blend, which helps ionize Cu2O and CuO to form water and soluble Cu complex, used for passivation. This forms a strong bond with the Cu to make the surface nobel. The low pH helps to dissolve the surface defects resulting in a step function decrease in defectivity compared to baseline (see Figure 2). The chemistry was also scalable, depending on concentration making cost of ownership low. This chemistry was selected for qualification at TI Cu CMP.

Vendor support

TI’s internal polishing engineering staff was augmented with exceptional support from several consumable vendors during development. Together TI engineers developed proprietary and patent-pending technologies to enhance the Mirra Desica cleaner performance on Cu BEOL CMP. TI also benefited from strong relationships with its contact clean brush suppliers. Rippy was instrumental in brush evaluations and consul- tation on process developments. To improve the tool’s performance, DOW was pivotal in adding additional functionality to the process through end of life evaluations. Perhaps most important of all relationships that developed was with Air Products, who provided an invaluable education into Cu cleaning process development.

Solving defect issues

During process development, TI engineers encountered several defect related issues. Some issues like photo-induced corrosion were resolved quickly after some technical research. There were two others that took more troubleshooting: carbon residue defects and Cu hillock formation.

The presence of gross surface defects, like carbon residue is an obvious yield killer. The Cu CMP Engineers come to the conclusion through EDX (Energy-dispersive X-ray spectroscopy) and much lab analysis that the current Cu slurry still had traces of BTA in it and were causing this residue defect to form on the wafers after polish. Many DOE later determined that extending the clean chemistry buff polish would eliminate this defect.

With residue defects effectively eliminated, the next major technical challenge was Cu hillock formation. TI had been experiencing higher defectivity due to back end of the line via to via shorts on the previous Cu CMP clean chemistry process. It was understood that the formation of Cu hillocks were the cause for this signature. To solve this problem, a completely different wafer cleaning chemistry was needed to passivate the copper surface. TI Cu CMP Engineers looked for one that did not use BTA or other high pH chemistries, but, would coat the wafer surface and not allow native oxides to grow on the Cu. The new chemistry (CoppeReady®CP72B) proved to form a nobel bond with the Cu (CuO2) and eliminated hillock growth formation, thereby reducing via-to-via shorts (see FIGURE 3).

FIGURE 3. Metal 1 via etch contact pitting chart (dark vias induced by copper hillock).

FIGURE 3. Metal 1 via etch contact pitting chart (dark vias induced by copper hillock).

Further process development

One of the last stages of development on the new process was a project to develop a faster through-put process. Although this work was successful, it highlights some of the challenges in pursuing this type of strategy. The motivation for this work was to dramatically boost the throughput and to further cut process expense. The POR process was limited by the cleaner and was much slower causing higher cost and higher wafer-per-hour rates. To maximize throughput, the new process would have two components: speed up the on board cleaner, brush box 1&2 throughput, as well decrease the platen 2&3 process times but include a clean chemistry buff. Because of the high down forces employed to achieve a flat removal profile, the Cu polishing component of this work, platen 1, was surprisingly fast but was the intended bottle neck. These changes allowed for a 10 percent increase in overall wafer through put compared to the baseline process. This had an alternate effect on the Cu polish process. TI’s current Cu slurry is thermally driven, with making platen 1 the bottle neck it kept that platen at one constant temperature throughout the lot, causing the overall end point times (EPD) to be reduced and streamlined. This further increased the tools throughput by 2 percent and reduced wafer to wafer EPD variation down to 2 to 3 seconds; previous was 10 to 12 sec between wafers (see FIGURE 4).

FIGURE 4. Cu CMP end point charts, variation reduction, clean chemistry and throughput enhancements.

FIGURE 4. Cu CMP end point charts, variation reduction, clean chemistry and throughput enhancements.

Benchmarking performance

For initial qualification and benchmarking, TI installed and setup the best known method (BKM) Cu polishing process on an Applied Materials Mirra-Desica. To
bring the new clean process into production, Cu Polish engineers needed to demonstrate equivalent or better yield between the two competing process. The new clean chemistry needed to be tested for EM (electro migration), which is a stress test of Cu interconnects between two metal lines. This test had to be outsourced to a third party company that specializes in oven-baking stress tests (FIGURE 5). After extensive electrical and yield testing, the new clean process was fully released. Sample yield comparisons consistently demonstrated that the performance is equivalent to slightly better and the new process has higher through-put (~12 percent). The chemical costs (dilute 60 to 1 CP72B®) are 68 percent less per wafer pass than the competing process. The pad/ conditioner life had increased by 13 percent from the previous process due to thermal driven Cu slurry through put modification (FIGURES 6 AND 7).

FIGURE 5. Electromigration (EM) stress test, new clean vs baseline.

FIGURE 5. Electromigration (EM) stress test, new clean vs baseline.

FIGURE 6. Sample availability with the new clean chemistry improvements.

FIGURE 6. Sample availability with the new clean chemistry improvements.

FIGURE 7. Clean chemistry cost over time in Cu CMP in terms of lots processed.

FIGURE 7. Clean chemistry cost over time in Cu CMP in terms of lots processed.

Conclusion

TI engineers developed a Cu CMP cleaning process using new third generation low pH Cu chemistry. Despite the tool’s many limitations, the engineering staff successfully delivered an integrated process capable of producing equivalent yield at substantially lower costs over the best alternative method. There were undoubtedly challenges along the way, only a fraction of which have been described in this paper. By leveraging an existing deep reservoir of engineering, maintenance, and operational talent, an existing and efficient supply chain, and the outstanding support of numerous vendors, TI Polish module was able to realize its goal of making efficient use of its assets to achieve a competitive advantage.

References

1. Tsung-Kuei Kanga, and Wei-Yang Choub Author. ‘Avoiding Cu Hillocks during the Plasma Process’

Journal of The Electrochemical Society, 151

CHRISTOPHER ERIC BRANNON is a TI Cu CMP Manufacturing Engineering, Texas Instruments, Dallas, TX.

SEMI today announced the update of its World Fab Forecast report for 2015 and 2016. The report projects that semiconductor fab equipment spending (new, used, for Front End facilities) is expected to increase 11 percent (US$38.7 billion) in 2015 and another 5 percent ($40.7 billion) in 2016. Since February 2015, SEMI has made 282 updates to its detailed World Fab Forecast report, which tracks fab spending for construction and equipment, as well as capacity changes, and technology nodes transitions and product type changes by fab.   

Capital expenditure (capex without fabless and backend) by device manufacturers is forecast to increase almost 6 percent in 2015 and over 2 percent in 2016. Fab equipment spending is forecast to depart from the typical historic trend over the past 15 years of two years of spending growth followed by one of decline.  For the first time, equipment spending could grow every year for three years in a row: 2014, 2015, and 2016.

The SEMI World Fab Forecast Report, a “bottoms up” company-by-company and fab-by-fab approach, lists over 48 facilities making DRAM products and 32 facilities making NAND products. The report also monitors 36 construction projects with investments totaling over $5.6 billion in 2015 and 20 construction projects with investments of over $7.5 billion in 2016.  

According to the SEMI report, fab equipment spending in 2015 will be driven by Memory and Foundry ─ with Taiwan and Korea projected to become the largest markets for fab equipment at $10.6 billion and $9.3 billion, respectively. The market in the Americas is forecast to reach $6.1 billion, with Japan and China following at $4.5 and $4.4 billion, respectively. Europe/Mideast is predicted to invest $2.6 billion. The fab equipment market in South East Asia is expected to total $1.2 billion in 2015.

Learn more about the SEMI World Fab Forecast and plan to attend the SEMI/Gartner Market Symposium at SEMICON West 2015 on Monday, July 13 for an update on the semiconductor supply chain market outlook. In addition to presentations from Gartner analysts, Christian Dieseldorff of SEMI will present on “Trends and Outlook for Fabs and Fab Capacity” and Lara Chamness will present on “Semiconductor Wafer Fab Materials Market and Year-to-Date Front-End Equipment Trends.”   

Fab Equipment Spending
(for Front-End Facilities, includes new, used, in-house)

 

2014

(US$B)

2015

(US$B)

Year-over-Year

Americas

7.8

6.1

-22%

China

4.1

4.4

10%

Europe and Mideast

2.2

2.6

18%

Japan

3.8

4.5

17%

Korea

7.4

9.3

27%

SE Asia

1.1

1.2

2%

Taiwan

8.5

10.6

25%

Total

34.9

38.7

11%

Source: SEMI World Fab Forecast Reports (May 2015)Totals may not add due to rounding

Revenues for flat panel display (FPD) manufacturing equipment are expected to grow for the third consecutive year to reach $9.1 billion, according to IHS Inc. (NYSE: IHS), a global source of critical information and insight. This level of FPD equipment spending, the highest level since 2011, is being driven by new liquid crystal display (LCD) and active-matrix organic light-emitting diode (AMOLED) panel factories targeting both large-area television and smartphone applications.

In terms of technology, spending will be split nearly evenly between amorphous silicon (a-Si) TV and low-temperature polycrystalline silicon (LTPS) smartphone plants, according to the latest IHS Quarterly FPD Supply/Demand and Capital Spending ReportLTPS investments in both 2015 and 2016 are expected to exceed all-time highs. 

“Over the past five years, spending on new LTPS LCD and AMOLED factories has been even more volatile than the overall FPD equipment market,” said Charles Annis, senior director at IHS. “LTPS-related equipment expenditures are now expected to peak in 2015 and 2016, before dropping off again in 2017, Recently announced projects are generating unprecedented levels of LTPS equipment expenditures, including new fab plans for JDI in Japan and Foxconn in Taiwan; expansions of current lines at both Samsung and LG Display in Korea; and new LTPS plants in China being built by AUO, BOE, Tianma and China Star.”

In addition to all the current LTPS fab activity, in 2015 makers continue to invest in a-Si Gen 8 factories targeted at TV applications, mainly in China. Much of this investment is the result of growing demand for large-area panels, which increased 14 percent last year – significantly outstripping capacity growth of 6 percent. This increased demand caused tight supply and firm prices last year, encouraging panel makers to extend capacity expansions. This year large-area demand and supply are forecast to grow at similar rates of 6 percent. Although factory utilization remains at relatively high levels, and there are concerns that growing set inventories will continue to push prices down in the third quarter (Q3) of this year, large-area supply and demand will be balanced for the year.

“Despite the maturing TV market, along with various concerns about the ability of all the new LTPS plants in China to ramp-up smoothly, FPD investment activity remains dynamic,” Annis said. “FPD equipment spending in 2016 is currently forecast to be flat or slightly down. BOE’s recent announcement to build a future Gen 8 factory in Fuzhou, and the world’s first Gen 10.5 fab in Hefei China, suggests that FPD makers still believe that building new factories will continue to lower costs and expand the range of applications.”

Discussion of these topics and more can be found in the IHS Quarterly FPD Supply/Demand and Capital Spending ReportThe report covers the most important metrics used to evaluate supply, demand, and capital spending for all major FPD technologies and applications.

Smartwatch display unit shipments are expected to grow 250 percent year-over-year, reaching a record 34 million units in 2015, led by demand for the new Apple Watch, according to IHS Inc. (NYSE: IHS), a global source of critical information and insight. The display market is still assessing the staying power of smartwatch demand, so as not to overshoot display supply needs in the coming year, particularly for the year-end shopping season. Smartwatch display shipments are therefore forecast to decline to about 6.5 million units in the fourth quarter (Q4) of 2015, after reaching a high point of 10.5 million units in the third quarter.

Because both Apple Watch and Samsung Gear rely on active-matrix organic light-emitting diode (AMOLED) panels, that technology will comprise the majority (58 percent) of total smartwatch panels shipped. Based on the latest information from the IHS Quarterly Small/Medium Shipment and Forecast Report, Apple Watch is expected to make up 84 percent of AMOLED smartwatch panels and 49 percent of total displays for smartwatch shipped in 2015.

“Apple Watch has attracted a lot of attention from consumers, which has led to increased demand,” said Hiroshi Hayase, director of analysis and research for IHS Technology. “The display market is carefully watching consumer response to products in the smartwatch category, which should help to improve future display technologies.”

The IHS Quarterly Small/Medium Shipment and Forecast Report covers the entire range of small and medium (9 inches or smaller) displays shipped worldwide and regionally.

FUJIFILM Corporation and nano-electronics research institute, imec have demonstrated full-color organic light-emitting diodes (OLED) by using their jointly-developed photoresist technology for organic semiconductors, a technology that enables submicron patterning. This breakthrough result paves the way to producing high-resolution and large organic Electroluminescent (EL) displays and establishing cost-competitive manufacturing methods.

Organic EL displays are increasingly used for televisions, mobile devices including smartphones as well as wearable devices. Since they can be made thin and flexible, while also offering excellent response time and contrast ratio. It is said that today’s products require organic EL displays of high pixel density, i.e. around 200ppi for 4K televisions, 500ppi for full HD mobile devices and even higher density for compact displays for wearable devices. There has been active R&D for organic semiconductors to develop a high-resolution patterning method for organic EL materials to be used in these products.

In 2013, Fujifilm and imec jointly developed photoresist technology for organic semiconductors that enables submicron patterning without damaging the organic semiconductor materials, based on photolithography capable of high-resolution patterning on large substrates. There is no need for additional capital investment since an existing i-line exposure system can be used for the new technology. This is why the technology has attracted wide attention since the development announcement with anticipation of a cost-effective way of manufacturing high-resolution organic semiconductor devices.

In the latest achievement, Fujifilm and imec produced full-color OLEDs with the photoresist technology for organic semiconductors and successfully verified their performance.  Red, green and blue organic EL materials were patterned, each in the subpixel pitch of 20μm, to create full-color OLEDs. An OLED array of 40 x 40 dots at the resolution of 640ppi was realized and illuminated with UV rays to confirm that red, green and blue dots separately emitted light. The emission of red, green and blue lights was also confirmed in a test involving the application of voltage rather than illumination, confirming its correct performance.

These results open new opportunities, such as using the novel photolithography in a multiple patterning process. An example would be creating an OLED array that adds a fourth color to red, green and blue, as well as developing previously-unseen devices such as a new sensors that integrate OLED with the organic photodetector.

This research result is to be presented at the SID Display Week, one of the world’s largest international exhibitions for information displays, held in San Jose, California from May 31 to June 5, 2015.

Since the commencement of joint research in November 2012, Fujifilm and imec have broken through the boundary of conventional technology to contribute to the progress of technology associated with organic semiconductors, e.g., developing the photoresist technology for organic semiconductors that enables the realization of high-resolution submicron patterns.  The two companies will continue to undertake cutting-edge R&D involving semiconductor materials, process technology and system integration, thereby contributing to resolving challenges faced by the organic electronics industry.