Category Archives: Displays

IHS Technology’s final market share results for 2014 reveal that worldwide semiconductor revenues grew by 9.2 percent in 2014 coming in just slightly below the growth projection of 9.4 percent based on preliminary market share data IHS published in December 2014. The year ended on a strong note with the fourth quarter showing 9.7 percent year-over-year growth.  IHS semiconductor market tracking and forecasts mark the fourth quarter of 2014 as the peak of the annualized growth cycle for the semiconductor industry.

Global revenue in 2014 totaled $354.5 billion, up from $324.7 billion in 2013, according to a final annual semiconductor market shares published by IHS Technology). The nearly double-digit percentage increase follows solid growth of 6.6 percent in 2013, a decline of 2.6 percent in 2012 and a marginal increase of 1.3 percent in 2011. The performance in 2014 represents the highest rate of annual growth since the 33 percent boom of 2010.

“While 2014 marked a peak year for semiconductor revenue growth, the health of both the semiconductor supply base and end-market demand, position the industry for another year of strong growth in 2015,” said Dale Ford, vice president and chief analyst at IHS Technology. “Overall semiconductor revenue growth will exceed 5 percent in 2015, and many component categories and markets will see improved growth over 2014.  The more moderate 2015 growth is due primarily to more modest increases in the memory and microcomponent categories.  The dominant share of semiconductor markets will continue to see vibrant growth in 2015.”

More information on this topic can be found in the latest release of the Competitive Landscaping Tool from the Semiconductors & Components service at IHS.

Top ten maneuvers

Intel maintained its strong position as the largest semiconductor supplier in the world followed by Samsung Electronics and Qualcomm at a strong number two and three position in the rankings.  On the strength of its acquisition of MStar, MediaTek jumped into the top 10 replacing Renesas Electronics at number 10.  The other big mover among the top 20, Avago Technologies, also was boosted by an acquisition, moving up nine places to number 14 with its acquisition of LSI in 2014.

Strategic acquisitions continue to play a major role in shaping both the overall semiconductor market rankings and establishing strong leaders in key semiconductor segments.  NXP and Infineon will be competing for positions among the top 10 semiconductor suppliers in 2015 with the boost from their mergers/acquisitions of Freescale Semiconductor and International Rectifier, respectively.

Among the top 25 semiconductor suppliers, 21 companies achieved growth in 2014.  Out of the four companies suffering declines, three are headquartered in Japan as the Japanese semiconductor market and suppliers continue to struggle.

Broad-based growth

As noted in the preliminary market share results, 2014 was one of the healthiest years in many years for the semiconductor industry.  Five of the seven major component segments achieved improved growth compared to 2013 growth. All of the major component markets saw positive growth in 2014.  Out of 128 categories and subcategories tracked by IHS, 73 percent achieved growth in 2014.  The combined total of the categories that did not grow in 2014 accounted for only 8.1 percent of the total semiconductor market.

Out of more than 300 companies included in IHS semiconductor research, nearly 64 percent achieved positive revenue growth in 2014.  The total combined revenues of all companies experiencing revenue declines accounted for only roughly 15 percent of total semiconductor revenues in 2014.

Semiconductor strength

Memory still delivered a strong performance driven by continued strength in DRAM ICs. However, memory market growth declined by a little more than 10 percent compared to the boom year of 2013 with over 28 percent growth in that year.  Growth in sensors & actuators came in only slightly lower than 2013.

Microcomponents achieved the strongest turn around in growth moving from a -1.6 percent decline in 2013 to 8.9 percent growth in 2014.  It also delivered the best growth among the major segments following memory ICs.  Even Digital Signal Processors (DSPs) achieved positive growth in 2014 following strong, double-digit declines in six of the last seven years.  MPUs lead the category with 10.7 percent growth followed by MCUs with 5.4 percent growth.

Every application market delivered strong growth in 2014 with the exception of Consumer Electronics.  Industrial Electronics lead all segments with 17.8 percent growth.  Data Processing accomplished the strongest improvement in growth as it grew 13.7 percent, up nearly 10 percent from 2014.  Of course, MPUs and DRAM played a key role in the strength of semiconductor growth in Data Processing.  The third-strongest segment was Automotive Electronics which was the third segment with double-digit growth at 10 percent.  Only Wireless Communications saw weaker growth in 2014 compared to 2013 as its growth fell by roughly half its 2013 level to 7.8 percent in 2014.

Applied Materials today announced the Applied Centura Tetra Z Photomask Etch system for etching next-generation optical lithographic photomasks needed by the industry to continue multiple patterning scaling to the 10nm node and beyond. The new tool extends the capabilities of Applied’s Tetra platform, delivering angstrom-level photomask accuracy for critical dimension (CD) parameters required to meet stringent patterning specifications for future logic and memory devices.

“Our Tetra Z system represents the state of the art in photomask etch technology, employing advances in precision materials engineering and plasma reaction kinetics to extend the use of 193nm lithography,” said Rao Yalamanchili, general manager of Applied’s Mask Etch product division. “Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which rely heavily on photomasks. Etch technology is key for photomask fabrication; the Tetra Z system is unique in delivering the accuracy required to etch next-generation optical photomasks for patterning advanced node designs.”

Applied developed the Tetra Z tool for advanced chrome, molybdenum silicon oxynitride (MoSi), hard mask and quartz (fused silica) etch applications used to fabricate advanced binary and phase-shift masks (PSMs). Offering continuous technical innovations and unprecedented CD performance, the system extends immersion lithography for quadruple patterning and cutting-edge resolution enhancement techniques. Vital capabilities ensuring pattern transfer fidelity include uniform, linear precision etching across all feature sizes and pattern densities with virtually zero defectivity.

Excellent CD performance combined with high etch selectivity enable the use of thinner resist films for achieving smaller photomask CD patterns on critical device layers. Controllable CD bias capability expands the system’s flexibility to meet customer specific requirements. Unique quartz etch depth control ensures precision phase angle and aids integrated circuit scaling by providing customers the capability to use alternating aperture PSMs and chromeless phase lithography. These key advances derive from a variety of system improvements in chamber design, plasma stability, ion and radical control, flow and pressure control, and real-time process monitoring and control.

Applied’s Tetra systems have been selected by a majority of mask makers worldwide to etch high-end photomasks over the past decade.

Applied Materials, Inc. is a developer of precision materials engineering solutions for the semiconductor, flat panel display and solar photovoltaic industries.

centura tetra z

The Semiconductor Industry Association (SIA) today applauded the Bipartisan Congressional Trade Priorities and Accountability Act of 2015 (TPA-2015), legislation introduced today by Senate Finance Committee Chairman Orrin Hatch (R-Utah), Ranking Member Ron Wyden (D-Ore.), and House Ways and Means Committee Chairman Paul Ryan (R-Wis.). The SIA board of directors, led by Intel CEO and SIA chairman Brian Krzanich, sent a letter today to congressional leaders expressing support for the legislation and urging its swift passage. Additionally, SIA president and CEO John Neuffer released the following statement in support of the bill:

“SIA strongly supports Trade Promotion Authority (TPA) and applauds the introduction of this bipartisan legislation. TPA paves the way for free trade by empowering U.S. negotiators to reach final trade agreements consistent with negotiating objectives laid out by Congress. Free trade is especially critical to the U.S. semiconductor industry, which designs and manufactures the chips that enable virtually all electronics. Our industry relies on a global ecosystem of materials and equipment suppliers, technology providers, services, R&D, and customers, so we depend on open access to international markets.

“In 2014, U.S. semiconductor company sales totaled $173 billion, representing over half the global market, and 82 percent of those sales were to customers outside the United States. The U.S. semiconductor industry employs nearly 250,000 people in high-skilled, high-wage jobs in America, and supports over one million additional U.S. jobs. Since most of the U.S. semiconductor industry’s customers are abroad, free trade is critical to creating and supporting these U.S. jobs.

“The United States is currently pursuing the Trans-Pacific Partnership (TPP) and the Transatlantic Trade and Investment Partnership (TTIP), two important trade agreements that would result in billions of dollars in global trade of semiconductor products. Without TPA, these agreements may never see the light of day.

“TPA makes sense for America and for the future prosperity of Americans. We commend Chairman Ryan, Chairman Hatch and Ranking Member Wyden for introducing this pro-growth legislation and urge lawmakers to act swiftly to approve it.”

The recently released Samsung Galaxy S6 Edge is the most expensive Galaxy S line smartphone yet built, but has a lower retail price than a comparable iPhone 6 Plus, according to a preliminary estimate by IHS and its Technology Mobile Handsets Intelligence Service.

The Samsung Galaxy S6 Edge smartphone with 64GB of NAND memory carries a bill of materials (BOM) of $284.85 with the cost rising to $290.45 when the $5.60 manufacturing cost is added, according to results from the IHS teardown of a phone purchased from Verizon. The retail price at Verizon for the Galaxy S6 Edge is $799.99.

A comparable Apple iPhone 6 Plus 64GB has a BOM of $236.04, which rises to $240.05 after the $4.01 manufacturing cost is added, and a retail price from the Apple online store of $849.00, $50 higher than the S6 Edge.

“Overall, this is the priciest Samsung Galaxy S series bill of materials to date. In fact, Samsung seems to have consistently packed more features and cost into their flagship Galaxy S line of phones over the last three generations of product, now producing a BOM cost that is notably higher than comparable iPhones,” said Andrew Rassweiler, senior director of research and analysis for IHS.

Preliminary Samsung Galaxy S6 Edge Teardown Cost
Analysis vs. iPhone 6 Plus 64GB
iPhone 6 Plus Galaxy S6 Edge
64GB 64GB
Direct Materials Costs $236.04 $284.85
Conversion Costs $4.01 $5.60
Total Costs $240.05 $290.45
Retail $849.99 $799.99

Source: IHS Technology iPhone 6 Plus analysis December 2014. S6 analysis April 2015.

Cost/Pricing Approach Similar to Apple

The Edge model retails for $100 more than the regular version of the S6. Additionally, the Galaxy S6 and S6 Edge both are priced $100 more at retail for each increment of memory from 32GB to 64GB and from 64GB to 128GB. “It only costs Samsung around $13 for 32GB in memory and less than $26 for an additional 64GB in memory,” Rassweiler said. “So Samsung generates an additional $87 or so in profit on the 64GB model when compared to the 32GB model. Apple has also been structuring their iPhone offering like this for years. It boosts margins.”

SAMSUNG GALAXY S6 EDGE SM-G925V Top Cost Drivers
Itemized Components MfgName Description Total Cost
Display SAMSUNG Display / Touchscreen Module, 5.1″
Quad HD Super AMOLED,
2560×1440 Pixels, 577PPI, Dual Edge
$85.00
IC Content
Apps Processor SAMSUNG Apps Processor – Octa-Core, 64-Bit, 14nm, PoP $29.50
Baseband IC QUALCOMM Baseband Processor – Multi-Mode, 28nm, PoP $15.00
Memory
NAND (eMMC, MLC, …) SAMSUNG Flash – UFS NAND, 64GB, PoP $25.00
DRAM SAMSUNG SDRAM – LPDDR4, 3GB, PoP $27.50
Power Management Ics $5.40
RF / PA Section $12.50
User Interface Ics $9.95
Sensors $4.80
Modules
Primary Camera Module Rear Camera Module – 16MP, BSI CMOS, OIS $18.50
Secondary Camera Module Front Camera Module – 5MP, BSI CMOS $3.00
BT / WLAN Module(s) MURATA BT / WLAN Module $4.00
Battery Pack(s) ITM Li-Polymer, 3.85V, 2600mAh, 10.01Wh $3.50
Other Noteworthy Items
Box Contents $6.20
Enclosure elements Die-Cast Aluminum Center Piece &
Machined Aluminum Bottom Piece
$12.00
Other Mechanical / Electro-Mechanicals $23.00

Source: IHS Technology April 2015

Pricey Display

Samsung has been using the Galaxy line to showcase its Super AMOLED technology in previous Galaxy S series generations, and the Galaxy S6 and S6 EDGE are no exceptions to this rule. The displays on the Galaxy S6 and S6 Edge offer higher resolution (2560×1440 pixels, known as Quad HD) than the iPhone 6 Plus which features 1920×1080 (1080p) resolution. The IHS teardown also showed that the unique Edge curved display screen costs $85, about $24 more than the display for the regular version of the S6. Furthermore, when compared with the iPhone 6 Plus, the display on the Galaxy S6 Edge is more than double the cost of the TFT/IPS display and touchscreen on the iPhone 6 Plus, which IHS estimated at $41 in November 2014.

Predominantly Samsung Silicon

The S6 Edge features predominantly Samsung silicon, but there are several variations of the Galaxy S6 and S6 Edge that also feature Samsung modem chips rather than those from Qualcomm found in the Verizon sample torn down by IHS. “There are other versions of the S6 sold by different carriers in different markets that do not use the Qualcomm chip set. We have procured another model so we can compare the models and look at the cost differences,” Rassweiler said.

The apps processor in the Edge torn down by IHS is a Samsung Octa-Core, 64-Bit, built in 14nm, and is one of the first (but not only) 14nm processors the IHS team has seen, with a cost of $29.50. The baseband integrated circuit (IC) is a Qualcomm MDM9653M multi-mode baseband processor, which has an estimated cost of $15.

The NAND memory is a Samsung (KLUCG8G1BD), 64GB UFS NAND, PoP, and the DRAM is a Samsung (K3RG3G30MM-DGCH), 3GB LPDDR4, PoP.

“Package on Package” Reduces Size

“This is the first time we have seen NAND Flash memory in package-on-package (PoP) format. In this case the flash memory is mounted directly on top of the Qualcomm MDM9653M processor. That’s usually something we have only seen when DRAM memory is placed on top of the applications processor,” Rassweiler said. “‘PoP packaging is used to reduce the overall footprint, and in this case is being used in more than one place in the design.

“It also is our first sighting in all teardowns of mobile (low power) DDR4 DRAM,” he added. “The iPhone 6 employs 1GB of Mobile DDR3 by comparison while 3GB of DDR3 DRAM has been a predominant configuration in several flagship Android phones in the last year.”

Regarding the RF/PA components in the Edge, Rassweiler said that both Apple and Samsung have focused much attention to integrating various radio frequency modules. “This integration is being done to help reduce the number of different SKUs needed to support multiple wireless operators worldwide,” Rassweiler said. “The approach to support multiple wireless operators with, ideally, a single model has been a big part of the LTE design efforts by both Apple and Samsung.”

April 2015 marks the 50th anniversary of one of the business world’’s most profound drivers, now commonly referred to as Moore’s Law.  In April 1965, Gordon Moore, later co-founder of Intel, observed that the number of transistors per square inch on integrated circuits would continue to double every year.  This “observation” has set the exponential tempo for five decades of innovation and investment resulting in today’s $336 billion USD integrated circuits industry enabled by the $82 billion USD semiconductor equipment and materials industry (SEMI and SIA 2014 annual totals).

SEMI, the global industry association serving the nano- and micro-electronic manufacturing supply chains, today recognizes the enabling contributions made by the over 1,900 SEMI Member companies in developing semiconductor equipment and materials that produce over 219 billion integrated circuit devices and 766 billion semiconductor units per year (WSTS, 2014).

50 years of Moore’’s Law has led to one of the most technically sophisticated, constantly evolving manufacturing industries operating today.  Every day, integrated circuit (IC) production now does what was unthinkable 50 years ago.  SEMI Member companies now routinely produce materials such as process gases, for example, to levels of 99.994 percent quality for bulk Silane (SiH4) in compliance with the SEMI C3.55 Standard.  Semiconductor equipment manufacturers develop the hundreds of processing machines necessary for each IC factory (fab) that are at work all day, every day, processing more than 100 silicon wafers per hour with fully automated delivery and control – all with standardized interoperability. SEMI Member companies provide the equipment to inspect wafer process results automatically, and find and identify defects at sizes only fractions of the 14nm circuit line elements in today’s chips, ensuring process integrity throughout the manufacturing process.

“”It was SEMI Member companies who enabled Moore’’s Law’’s incredible exponential growth over the last 50 years,”” said Denny McGuirk, president and CEO of SEMI.  “”Whereas hundreds of transistors on an IC was noteworthy in the 1960s, today over 1.3 billion transistors are on a single IC.  SEMI Member companies provide the capital equipment and materials for today’s mega-fabs, with each one processing hundreds or thousands of ICs on each wafer with more than 100,000 wafers processed per month.””

To celebrate SEMI Member companies’ contribution to the 50 years of Moore’s Law, SEMI has produced a series of Infographics that show the progression of the industry.

1971

2015

Price per chip

$351

$393

Price per 1,000 transistors

$150

$0.0003

Number of transistors per chip

2,300

1,300,000,000

Minimum feature size on chip

10,000nm

14nm

From SEMI infographic “Why Moore Matters”: www.semi.org/node/55026

The explosion of touch-enabled screens used in smartphones, tablets and other consumer devices, along with improvements in touch technology, are increasing demand for touch-screen automotive displays used for navigation, entertainment and online services, climate control, energy efficiency tracking and other activities. According to IHS Inc. (NYSE: IHS), a source of critical information and insight, the compound annual growth rate (CAGR) for global automotive touch panel shipments — which includes shipments of factory-installed automotive touch panel systems, as well as aftermarket applications, dealer installations, and service replacements — will average 18 percent through 2018, with revenues forecast to reach $1.5 billion.

“Analog resistive touch has dominated automotive touch panels, because the auto industry tends to prefer mature and proven technologies,” said Shoko Oi, senior analyst of touch panel and user interface research for IHS. “Resistive touch is less influenced by noise and is capable of receiving input from gloved hands; however, the explosion in touch-enabled smartphones and other devices is rapidly changing the consumer mindset, which is helping spur demand for better automotive touch screens. Touch screens that require lighter touch pressure are rapidly becoming standard technology in many types of vehicles, which is affecting the technological transition from resistive panels to projective-capacitive panels.”

touch panel shipments

Based on information from the latest Automotive Touch Panel Technology and Market Forecast Report from IHS, while projective-capacitive touch (PCT) technology has been a topic of discussion since 2012, adoption is finally expected to begin in 2015 models, which is leading to the rise in touch-panel shipments.

Due to improvements in the consumer interface, most touch panels for 2017 car models will use PCT technology, which is expected to surpass the use of resistive technology in 2017. “Some manufacturers will still opt to use resistive touch screens in their bills of materials, not only to reduce costs, but also to avoid some continuing issues in the PCT display supply chain,” Oi said.

The role of automotive displays is changing.  What was once simply a way to view information from navigation system or car audio systems, has evolved into a human-to- machine interface for devices of inside and outside the vehicle. “This evolution, along with the increased volume and importance of displayed data, is leading to a growing need for touch-panel designs that incorporate irregular or curved shapes, larger sizes and higher resolutions,” Oi said.

The Automotive Touch Panel Technology and Market Forecast Report from IHS explores the technologies and trends of touch panel adoption in future automobile designs.

By Lara Chamness, senior market analyst manager, SEMI

Semiconductor Market Trends

2014 was the second record breaking year in a row in terms of semiconductor device revenues; the industry grew a robust 10 percent to total $336 billion, according to the WSTS. The strong momentum of the device market was enough to drive positive growth for both the equipment and materials markets. After two successive years of revenue decline, both the equipment and materials markets grew 18 percent and 3 percent, respectively last year, according to SEMI (www.semi.org). Even though the semiconductor materials market did not enjoy the same magnitude of recovery as the equipment market last year, the materials market has been larger than the equipment for the past seven years.

Just like last year, the weakened Yen negatively impacted total revenues for semiconductor materials and equipment (refer to Dan Tracy’s March 2014 article for more detail). The Table (below) shows the impact of the weakened Yen on Semiconductor Equipment Association of Japan’s (SEAJ) book-to-bill data. SEMI reveals that if the data was kept in Yen, the 2014 market for Japan-based suppliers would be up 37 percent. However, when the Yen are converted to dollars the 2014 equipment market for Japan-based suppliers only increased 26 percent. When silicon semiconductor shipment volumes are compared year-over-year, shipments were up 11 percent. By comparison, silicon revenues only increased one percent. SEMI also tracks leadframe unit shipments. In 2014, leadframe shipments were up 9 percent year-over-year; however, leadframe revenues increased only 4 percent. Silicon and leadframe revenues were adversely impacted by intense price down pressure exasperated by the weakened Yen. Given that Japan-headquartered suppliers represent a significant portion of the equipment and materials markets; this has the effect of muting the growth of the global equipment and materials markets as well.

Semiconductor Equipment

Worldwide sales of semiconductor manufacturing equipment totaled $37.5 billion in 2014, representing a year-over-year increase of 18 percent and placing spending on par with 2004 levels. According to SEMI, looking at equipment sales by major equipment category, 2014 saw expansions in all major categories — Wafer Processing equipment increased 15 percent, while the Assembly and Packaging and Test equipment segments grew 32 and 31 percent, respectively. The Other Front-end segment (Other Front End includes Wafer Manufacturing, Mask/Reticle, and Fab Facilities equipment) increased 15 percent.

Taiwan retained its number one ranking last year at $8.2 billion, even though it was the only region to experience a year-over-year contraction in spending. The equipment market in North America maintained second place at $8.2 billion for the second year as its market grew a robust 55 percent due to investments in excess of a billion dollars each from Intel, GLOBALFOUNDRIES, and Samsung.  Spending levels of $6.8 billion in South Korea remain significantly below their market high set in 2012 resulting in South Korea maintaining the third spot for the second year in a row. China moved up in the rankings to hit a market high and displacing Japan to claim the fourth position in the market. Strong investments by Samsung, SK Hynix, SMIC, and back-end companies are driving the equipment market in China. Equipment sales to Europe and Rest of world increased 24 and 4 percent, respectively in 2014. Rest of World region aggregates Singapore, Malaysia, Philippines, other areas of Southeast Asia and smaller global markets.

Semiconductor Materials
SEMI reports that the global semiconductor materials market, which includes both fab and packaging materials, increased 3 percent in 2014 totaling $44.3 billion. Looking at the materials market by wafer fab and packaging materials, the wafer fab materials segment increased 6 percent, while the packaging materials segment was flat.  However if bonding wire were excluded from the packaging materials segment, the segment increased more than 4 percent last year. The continuing transition to copper-based bonding wire from gold is negatively impacting overall packaging materials revenues.

Taiwan maintained the top spot for the fifth year in a row, followed by Japan, South Korea, Rest of World, and China. Driving the materials market in Taiwan are advanced packaging operations and foundries. Japan still claims a significant installed fab base and has a tradition in domestic-based packaging, although many companies in Japan have rapidly adopted a fab lite strategy and have consolidated their fab and packaging plants. South Korea passed Rest of World (primarily SE Asia) as the third largest market for semiconductor materials given the dramatic increase in advanced fab capacity in the region in recent years.

Outlook

Most analysts predict mid- to high single-digit growth for the semiconductor device market for 2015. Initial monthly data for silicon shipments and semiconductor equipment are proving to be encouraging. In light of growth expectations for the device market, SEMI projects that the semiconductor materials market will increase 4 percent this year. Given current CapEx announcements, the outlook for semiconductor equipment is optimistic as well, with current projections of the equipment market showing another year of growth, which would place the equipment market on par with the last market high set in 2011.

2014 was a much welcomed year for equipment and materials suppliers as device manufacturers easily exceeded revenues of $300 billion. Even with the weakened Yen, both the semiconductor and equipment segments experienced growth. 2015 is promising to be another growth year for the entire market with device, materials and equipment suppliers poised to experience increases for the year.

Portions of this article were derived from the SEMI Worldwide Semiconductor Equipment Market Statistics (WWSEMS), the Material Market Data Subscription (MMDS) and the World Fab Watch database. These reports are essential business tools for any company keeping track of the semiconductor equipment and material market. Additional information regarding this report and other market research reports is available at www.semi.org/marketinfo

BY JOE CESTARI, Total Facility Solutions, Plano, Texas

When the commercial semiconductor manufacturing industry decides to move to the next wafer size of 450mm, it will be time to re-consider equipment and facilities strategies. Arguably, there is reason to implement new strategies for any new fab to be built regardless of the substrate size. In the case of 450mm, if we merely scale up today’s 300mm layouts and operating modes, the costs of construction would more than double. Our models show that up to 25 percent of the cost of new fab construction could be saved through modular design and point-of-use (POU) facilities, and an additional 5-10 percent could be saved by designing for “lean” manufacturing.

In addition to cost-savings, these approaches will likely be needed to meet the requirements for much greater flexibility in fab process capabilities. New materials will be processed to form new devices, and changes in needed process-flows and OEM tools will have to be accommodated by facilities. In fact, tighter physical and data integration between OEM tools and the fab may result in substantially reduced time to first silicon, ongoing operating costs and overall site footprint.

POU utilities with controls close to the process chambers, rather than in the sub-fab, have been modeled as providing a 25-30 percent savings on instrumentation and control systems throughout the fab. Also, with OEM process chamber specifications for vacuum-control and fluid-purity levels expected to increase, POU utilities provide a flexible way to meet future requirements.

Reduction of fluid purity specifications on central supply systems in harmony with increases in localized purification systems for OEM tools can also help control costs, improve flexibility, and enhance operating reliability. There are two main reasons why our future fabs will need much greater flexibility and intelligence in facilities: high-mix production, and 1-12 wafer lots.

High-mix production

Though microprocessors and memory chips will continue to increase in value and manufacturing volumes, major portions of future demand for ICs will be SoCs for mobile applications. The recently announced “ITRS 2.0”—the next roadmap for the semicon- ductor fab industry after the “2013” edition published early in 2014—will be based on applications solutions and less on simple shrinks of technology. Quoting Gartner Dataquest”s assessment:

System-on-chip (SoC) is the most important trend to hit the semiconductor industry since the invention of microprocessors. SoC is the key technology driving smaller, faster, cheaper electronic systems, and is highly valued by users of semiconductors as they strive to add value to their products.”

1-12 Wafer Lots

The 24-wafer lot may remain the most cost-effective batch size for low-mix fabs, but for high-mix lines 12-wafer lots are now anticipated even for 300mm wafers. For 450mm wafers, the industry needs to re-consider “the wafer is the batch” as a manufacturing strategy. The 2013 ITRS chapter on Factory mentions in Table 5 that by the year 2019 “Single Wafer Lot Manufacturing System as an option” will likely be needed by some fabs. Perhaps a 1-5 wafer carrier and interface would be a way for an Automated Material Handling System (AMHS) to link discrete OEM tools as an evolution of current 300mm FOUP designs.

However, a true single-wafer fab line would be the realization of a revolution started over twenty years ago when the MMST Program was a $100M+ 5-year R&D effort funded by DARPA, the U.S. Air Force, and Texas Instruments, which developed a 0.35μm double-level-metal CMOS fab technology (with a three-day cycle time). In the last decade BlueShift Technologies was started and stopped to provide such revolutionary technology for vacuum-robot-lines to connect single-wafer chambers all with a common physical interface.

Lean manufacturing approaches should work well with high-mix product fabs, in addition to providing more efficient consumption of consumables in general. In specific, when lean manufacturing is combined with small batch sizes—minimally the single wafer—there is tremendous improvement in cycle-time.

Achieving precise registration accuracy is a factor of two related variables: web tension and transport velocity.

BY BIPIN SEN, Bosch Rexroth, Hoffman Estates, IL

One of the brightest developments in electronics is Organic Light Emitting Diode (OLED) TVs, which are attracting consumers with their eye-popping colors and super- thin designs. Unlike the components found in traditional flat-screen display technology, OLEDs use thin, flexible sheets of material that emit their own light and are produced using a technique similar to inkjet or sheet-feed printing.

Introduced to the consumer market only a few years ago, OLEDs are still relatively costly to manufacture in large sizes due to limitations in both shadow-mask deposition methods, and in newer laser annealing and inkjet printing techniques. To scale up large area display production economically, printed electronics manufacturers are seeing the benefits of another production method — namely, digital roll-to-roll web processing.

Like an inkjet printer deposits ink on sheets of paper, a digital roll-to-roll press patterns thin-film transistors and other devices directly onto large organic, flexible substrates. But unlike slower sheet-fed digital printing, the substrate in a roll-to-roll press is supplied from an infeed reel through the printing section onto an outfeed reel in one continuous inline web. An array of piezo- electric printheads deposit the ink — comprised of a conductive organic solution — on the substrate at precise locations. In roll-to-roll web processing, electroluminescent materials or other microcrys- talline layers are deposited on substrate at slower speeds, on the order of 10 to 100 feet (3 to 30 meters) per minute.

The speed of the roll-to-roll process reduces the cost of fabrication dramatically—but several challenges must be overcome to make it pay off.

Fast speeds create big challenges

Similar to how Sunday newspaper comics require precise color registration to keep images from blurring, printed electronics require far tighter registration. Tolerances for applications such as Thin-Film Transistors (TFTs) or OLEDs require registration smaller than 10 microns. High-speed, high-resolution cameras measure registration accuracy and provide input to the control system. To ensure that degree of accuracy, precise web tension control is required.

Achieving precise registration accuracy is a factor of two related variables: web tension and transport velocity.

Web transport control ensures proper uniform tension on the substrate web as it travels through the process. Because the substrate changes properties in response to force loading, changes in tension affect the stability of deposited materials. Substrate expansion causes cracks, broken traces, short circuiting and layer delamination. Changes in web velocity in the print zone affect registration, thickness and resolution of fine lines.

As the web travels downstream, constant tension must be maintained in each tension zone, which
is defined as an isolated area in a machine where constant tension must be maintained appropriate to the process being performed in that area. A roll- to-roll press has several tension zones. Problems occur when a change is made in one tension zone and no change is needed in other areas. When tension control is coupled between all zones, a change in one creates a cascade of changes in others, impacting the stability of the entire web.

FIGURE 1 shows how instability affects a web traveling at five meters per second with two successive tension controllers for two tension zones. A command for a step change tension reduction is sent to the green zone controllers.

FIGURE 1. Tension instability.

FIGURE 1. Tension instability.

No change is required in the upstream blue zone. But because the web is continuous, the tension disturbance is carried back to the blue zone, which causes the blue controller to compensate. In turn, this change affects the downstream green zone, sending jitter back to the blue zone. This back and forth jitter takes about 85 seconds to settle down. The web tension finally stabilizes in about 90 seconds. During that time, the machine is yielding waste product.

The challenge of tension adjustment

In an ideal world, web instability would never occur because tension adjustment would never be needed. But tension adjustment is necessary due to several mechanical factors:

  • Oscillations caused by mechanical misalignments
  • Differing inertial response (lag) of mechanical elements during web acceleration
  • Out-of-round unwind and tension rolls
  • Slipping through nip rolls
  • Over aggressive web-guide correction

Several technical process and control issues also affect tension: tension set point changes, phase offset on driven rolls, tension bleed from one zone to another, and, of course, thermal effect (contraction/expansion) as the substrate passes through various processes.

The factors requiring tension adjustment cannot all be eliminated. Variance in any one factor in a zone necessitates changes in tension control and web speed. Consequently, with coupled tension zone control, jitter is inevitable in a continuous web where the controllers cause a feedback loop.

The benefits of decoupled controllers

There is a solution: Decouple each tension zone, allowing each controller to operate independently.
This has been accomplished in digital printing applications using Bosch Rexroth controllers incor- porating a unique tension decoupling function block. As the name implies, the function block allows tension control for each zone to operate independently. As a result, tension changes can be isolated in one zone without affecting tension change in other areas.

The result can be seen in FIGURE 2. In this example, the press uses two successive controllers. But now the step change signaled by the green section controller doesn’t create a cascade effect upstream. Along with decoupling to prevent feedback, the Rexroth controller initiates a response to step reduction in tension control in one-fourth the time compared to typical controllers.

FIGURE 2. Improved tension control.

FIGURE 2. Improved tension control.

With the Rexroth solution, tension can be controlled for up to eight axes. One or multiple points can be selected to be left uncontrolled. At the selected axis, line speed is held constant. At a standstill, web tension can be maintained. In fact, Rexroth multi-axis tension control increases stand-still web tension accuracy by a factor of two to four. Achieving the desired standstill web tension is also much faster. Without decoupling, a setpoint can be achieved in 13-14 seconds; with decoupling, it takes three to four seconds.

During acceleration, tension control decoupling ensures the web is stable as soon as full production speed is reached, compared to a delay of five seconds or longer with coupled control. And when tension setpoint changes occur during runtime, the transient response with decoupling takes about one second, compared to about four seconds with coupled control.

Not unlike digital printing, the adoption of roll-to-roll web printing will accelerate as the technology demonstrates its ability to provide high accuracy at high speeds.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing and design, today announced worldwide sales of semiconductors reached $27.8 billion for the month of February 2015, an increase of 6.7 percent from February 2014 when sales were $26.0 billion. Global sales from February 2015 were 2.7 percent lower than the January 2015 total of $28.5 billion, reflecting seasonal trends. Regionally, sales in the Americas increased by 17.1 percent compared to last February to lead all regional markets. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry maintained momentum in February, posting its 22nd straight month of year-to-year growth despite macroeconomic headwinds,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Sales of DRAM and Analog products were particularly strong, notching double-digit growth over last February, and the Americas market achieved its largest year-to-year sales increase in 12 months.”

Regionally, year-to-year sales increased in the Americas (17.1 percent) and Asia Pacific (7.6 percent), but decreased in Europe (-2.0 percent) and Japan (-8.8 percent). Sales decreased compared to the previous month in Europe (-1.6 percent), Asia Pacific (-2.2 percent), Japan (-2.3 percent), and the Americas (-4.4 percent).

“While we are encouraged by the semiconductor market’s sustained growth over the last two years, a key driver of our industry’s continued success is free trade,” Neuffer continued. “A legislative initiative called Trade Promotion Authority (TPA) has paved the way for opening markets to American goods and services for decades, helping to give life to nearly every U.S. free trade agreement in existence, but it expired in 2007. With several important free trade agreements currently under negotiation, Congress should swiftly re-enact TPA.”

February 2015
Billions
Month-to-Month Sales
Market Last Month Current Month % Change
Americas 6.51 6.23 -4.4%
Europe 2.95 2.90 -1.6%
Japan 2.62 2.56 -2.3%
Asia Pacific 16.47 16.10 -2.2%
Total 28.55 27.79 -2.7%
Year-to-Year Sales
Market Last Year Current Month % Change
Americas 5.32 6.23 17.1%
Europe 2.96 2.90 -2.0%
Japan 2.81 2.56 -8.8%
Asia Pacific 14.96 16.10 7.6%
Total 26.04 27.79 6.7%
Three-Month-Moving Average Sales
Market Sep/Oct/Nov Dec/Jan/Feb % Change
Americas 6.53 6.23 -4.6%
Europe 3.19 2.90 -9.2%
Japan 2.93 2.56 -12.7%
Asia Pacific 17.12 16.10 -6.0%
Total 29.77 27.79 -6.7%