Category Archives: Displays

With its high electrical conductivity and optical transparency, indium tin oxide is one of the most widely used materials for touchscreens, plasma displays, and flexible electronics. But its rapidly escalating price has forced the electronics industry to search for other alternatives.

One potential and more cost-effective alternative is a film made with silver nanowires–wires so extremely thin that they are one-dimensional–embedded in flexible polymers. Like indium tin oxide, this material is transparent and conductive. But development has stalled because scientists lack a fundamental understanding of its mechanical properties.

Now Horacio Espinosa, the James N. and Nancy J. Farley Professor in Manufacturing and Entrepreneurship at Northwestern University’s McCormick School of Engineering, has led research that expands the understanding of silver nanowires’ behavior in electronics.

Espinosa and his team investigated the material’s cyclic loading, which is an important part of fatigue analysis because it shows how the material reacts to fluctuating loads of stress.

“Cyclic loading is an important material behavior that must be investigated for realizing the potential applications of using silver nanowires in electronics,” Espinosa said. “Knowledge of such behavior allows designers to understand how these conductive films fail and how to improve their durability.”

By varying the tension on silver nanowires thinner than 120 nanometers and monitoring their deformation with electron microscopy, the research team characterized the cyclic mechanical behavior. They found that permanent deformation was partially recoverable in the studied nanowires, meaning that some of the material’s defects actually self-healed and disappeared upon cyclic loading. These results indicate that silver nanowires could potentially withstand strong cyclic loads for long periods of time, which is a key attribute needed for flexible electronics.

“These silver nanowires show mechanical properties that are quite unexpected,” Espinosa said. “We had to develop new experimental techniques to be able to measure this novel material property.”

The findings were recently featured on the cover of the journal Nano Letters. Other Northwestern coauthors on the paper are Rodrigo Bernal, a recently graduated PhD student in Espinosa’s lab, and Jiaxing Huang, associate professor of materials science and engineering in McCormick.

“The next step is to understand how this recovery influences the behavior of these materials when they are flexed millions of times,” said Bernal, first author of the paper.

By Dr. Adam He, director of Industry Research and Consulting, SEMI China

In June 2014, the State Council of China issued the “National Guideline for the Development and Promotion of the IC Industry,” to support the domestic semiconductor industry. The document addresses development targets, approaches, and measures. It has echoed strongly across the semiconductor industry and attracted global attention due to the ambitious development targets and sizeable support for a national IC industry investment fund.

What’s new?

(1) The Ambitious Development Target

According to the Guideline, the China IC industry revenue should reach RMB350 billion in 2015, and maintain a CAGR of more than 20 percent through 2020. In other words, 2020 revenues are expected to reach US$143 billion, which is 3.5 times that of the US$40.5 billion in 2013. (Note: China IC Industrial revenue refers to the total IC companies’ sales revenue within China, including IC design companies, foundries, IDMs and OSAT companies.)

SEMI--Adam He--for China article

 

Technical and product targets in each segment of the IC industry are clearly defined in the Guideline. The major targets of each segment are listed below.

  • IC manufacturing: mass production for 32/38 nm process shall be realized by 2015 and 16/14 nm process shall be realized by 2020.
  • IC design: certain key technologies (e.g. mobile smart terminal, network communication) shall approach international first-tier level by 2015, and other strategic technologies shall achieve international leading edge by 2020.
  • IC packaging and test: revenue from mid-end to high-end technologies shall be more than 30% of total revenue by 2015, and key technologies shall achieve international leading edge by 2020.
  • Material: 12-inch silicon wafers produced in China shall be ready for use in device production by 2015, and enter global supply chain by 2020.
  • Equipment: 65-45nm key equipment manufactured in China shall be used into production line by 2015, and enter global supply chain by 2020.

(2) National IC Industry Investment Fund Establishment

The manner of industry support has markedly changed from previous policies. The new policy will be adopted with a market-based approach and implemented through national IC industry investment funds to support industry development.

As of December 16, 2014, the latest information indicates that ordinary share-raising for a national IC industry investment fund has been completed and RMB 98.72 billion (US$ 15.9 billion) has been raised. Preferred shares amounting to RMB 40 billion (US$ 6.5 billion) will be further issued in the first quarter of 2015, accumulating to more than RMB130 billion (US$ 21 billion).

Meanwhile, local IC industry investment funds have been established by the cities of Beijing, Shanghai, Wuhan, and Hefei. Of these, Beijing took the lead in establishing a fund in June 2014, totaling RMB 30 billion (US$ 4.8 billion). It is structured as a “fund of funds” and two sub-funds. One sub-fund, supporting for IC manufacturing and semiconductor equipment, is managed by CGP Investment (the “fund of funds” is also managed by CGP); the other sub-fund, supporting IC design and packaging, is managed by Hua Capital.  In addition, the Shanghai IC industry fund, named Shanghai Summitview Capital IC information industry merger fund, totaling RMB10 billion (US$ 1.6 billion) was established in November 2014.

The total government funds are estimated to reach to US$100 billion with the implementation of local industry funds.

What will happen?

It is anticipated that the new policies will exert a significant influence on the semiconductor ecosystem in China.

China’s semiconductor industry will be dramatically expanded given the scale of industry equity funds that are leveraged by government investments. The existing semiconductor industry in China is estimated to have more than 10 percent of global fab capacity and more than 20 percent of global packaging capacity. The new investments will contribute to a powerful expansion in China-based capacity and create a stronger and more globally prominent semiconductor industry in China.

Secondly, the investment and merger activity in the semiconductor industry in China has been very dynamic and will continue to be so with the new investment funds. These newly established national and local IC industry investment funds will not only directly focus on the Fab and IC design companies, but also stimulate the IC industry merger and acquisition activity in and outside of China. For example, shortly after its establishment, Hua Capital (the investment company of IC design and packaging sub-fund of Beijing IC industry fund) proposed to buy Omnivision with Shanghai Pudong Science and Technology Investment Co. Ltd.

In addition, the new policies will also promote marketization development and global cooperation beyond previously implemented investment activities. In the 1990s, the Chinese government established two semiconductor production lines directly through National Engineering Project 908 and 909. In the beginning of the 21st century, SMIC was co-established by state-owned enterprises and an entrepreneurial team. Now, relying on the new capital, the Chinese government is going to support the industry development through equity funds, which is in line with the marketization reform philosophy of the new government and places investors and entrepreneurs at center stage in implementing industry growth. Experienced investors and entrepreneurs with international vision will lead China’s semiconductor industry to a broader global cooperation.

How should international companies respond?

China IC industry investment funds will likely drive market share gains for China players and also more buyout offers from China. Therefore, it is increasingly critical for international companies to consider their strategy and cooperation objectives with China’s semiconductor industry in the light of a huge application market and a dynamic industry ecosystem.

The first step is to better understand China. Companies need to recognize that China is not only the largest semiconductor market — and not just a manufacturing base with a cost advantage. The most important point is that China’s economy and semiconductor industry is changing dramatically, and this will affect the global semiconductor industry ecosystem. Second, China is a diversified economic body, with the developed metropolitan areas such as Shanghai, Beijing and Shenzhen, and the to-be-developed middle and west regions.  Each of these regions will offer specific opportunities for companies in the semiconductor supply chain.

To participate in China’s industry ecosystem, it is essential to establish connections with the stakeholders in China, such as government, customers, suppliers, and even competitors, and to seek opportunities in cooperation and development through mutual understanding and engagement.

During SEMICON China 2015 (March 17-19), SEMI China will host the Tech Investment Forum-China 2015 on March 18. The Tech Investment Forum has already become an important platform between investment and pan-semiconductor industry in China. This year, Mr. Wenwu Ding, the CEO of China National IC Investment Fund will give a keynote speech. There will also be a session where startup companies can pitch to venture investors for project funding.

SEMI China’s Industry Research and Consulting team provides market research, supply chain surveys, investment site evaluations, and partner matching services (visit www.semi.org.cn/marketinfor/exclusive.aspx) or visit the SEMI Industry Research and Statistics website at www.semi.org/en/MarketInfo.

Leading industry experts provide their perspectives on what to expect in 2015. 3D devices and 3D integration, rising process complexity and “big data” are among the hot topics.

Entering the 3D era

Ghanayem_SSteve Ghanayem, vice president, general manager, Transistor and Interconnect Group, Applied Materials

This year, the semiconductor industry celebrates the 50th anniversary of Moore’s Law. We are at the onset of the 3D era. We expect to see broad adoption of 3D FinFETs in logic and foundry. Investments in 3D NAND manufacturing are expanding as this technology takes hold. This historic 3D transformation impacting both logic and memory devices underscores the aggressive pace of technology innovation in the age of mobility. The benefits of going 3D — lower power consumption, increased processing performance, denser storage capacity and smaller form factors — are essential for the industry to enable new mobility, connectivity and Internet of Things applications.

The semiconductor equipment industry plays a major role in enabling this 3D transformation through new materials, capabilities and processes. Fabricating leading-edge 3D FinFET and NAND devices adds complexity in chip manufacturing that has soared with each node transition. The 3D structure poses unique challenges for deposition, etch, planarization, materials modification and selective processes to create a yielding device, requiring significant innovations in critical dimension control, structural integrity and interface preparation. As chips get smaller and more complex, variations accumulate while process tolerances shrink, eroding performance and yields. Chipmakers need cost-effective solutions to rapidly ramp device yield to maintain the cadence of Moore’s Law. Given these challenges, 2015 will be the year when precision materials engineering technologies are put to the test to demonstrate high-volume manufacturing capabilities for 3D devices.

Achieving excellent device performance and yield for 3D devices demands equipment engineering expertise leveraging decades of knowledge to deliver the optimal system architecture with wide process window. Process technology innovation and new materials with atomic-scale precision are vital for transistor, interconnect and patterning applications. For instance, transistor fabrication requires precise control of fin width, limiting variation from etching to lithography. Contact formation requires precision metal film deposition and atomic-level interface control, critical to lowering contact resistance. In interconnect, new materials such as cobalt are needed to improve gap fill and reliability of narrow lines as density increases with each technology node. Looking forward, these precision materials engineering technologies will be the foundation for continued materials-enabled scaling for many years to come.

Increasing process complexity and opportunities for innovation

trafasBrian Trafas, Chief Marketing Officer, KLA-Tencor Corporation

The 2014 calendar year started with promise and optimism for the semiconductor industry, and it concluded with similar sentiments. While the concern of financial risk and industry consolidation interjects itself at times to overshadow the industry, there is much to be positive about as we arrive in the new year. From increases in equipment spending and revenue in the materials market, to record level silicon wafer shipments projections, 2015 forecasts all point in the right direction. Industry players are also doing their part to address new challenges, creating strategies to overcome complexities associated with innovative techniques, such as multipatterning and 3D architectures.

The semiconductor industry continues to explore new technologies, including 3DIC, TSV, and FinFETs, which carry challenges that also happen to represent opportunities. First, for memory as well as foundry logic, the need for multipatterning to extend lithography is a key focus. We’re seeing some of the value of a traditional lithography tool shifting into some of the non-litho processing steps. As such, customers need to monitor litho and non-litho sources of error and critical defects to be able to yield successfully at next generation nodes.  To enable successful yields with decreasing patterning process windows, it is essential to address all sources of error to provide feed forward and feed backward correctly.

The transition from 2D to 3D in memory and logic is another focus area.  3D leads to tighter process margins because of the added steps and complexity.  Addressing specific yield issues associated with 3D is a great opportunity for companies that can provide value in addressing the challenges customers are facing with these unique architectures.

The wearable, intelligent mobile and IoT markets are continuing to grow rapidly and bring new opportunities. We expect the IoT will drive higher levels of semiconductor content and contribute to future growth in the industry. The demand for these types of devices will add to the entire value chain including semiconductor devices but also software and services.  The semiconductor content in these devices can provide growth opportunities for microcontrollers and embedded processors as well sensing semiconductor devices.

Critical to our industry’s success is tight collaboration among peers and with customers. With such complexity to the market and IC technology, it is very important to work together to understand challenges and identify where there are opportunities to provide value to customers, ultimately helping them to make the right investments and meet their ramps.

Controlling manufacturing variability key to success at 10nm

Rick_Gottscho_Lam_ResearchRichard Gottscho, Ph.D., Executive Vice President, Global Products, Lam Research Corporation 

This year, the semiconductor industry should see the emergence of chip-making at the 10nm technology node. When building devices with geometries this small, controlling manufacturing process variability is essential and most challenging since variation tolerance scales with device dimensions.

Controlling variability has always been important for improving yield and device performance. With every advance in technology and change in design rule, tighter process controls are needed to achieve these benefits. At the 22/20nm technology node, for instance, variation tolerance for CDs (critical dimensions) can be as small as one nanometer, or about 14 atomic layers; for the 10nm node, it can be less than 0.5nm, or just 3 – 4 atomic layers. Innovations that drive continuous scaling to sub-20nm nodes, such as 3D FinFET devices and double/quadruple patterning schemes, add to the challenge of reducing variability. For example, multiple patterning processes require more stringent control of each step because additional process steps are needed to create the initial mask:  more steps mean more variability overall. Multiple patterning puts greater constraints not only on lithography, but also on deposition and etching.

Three types of process variation must be addressed:  within each die or integrated circuit at an atomic level, from die to die (across the wafer), and from wafer to wafer (within a lot, lot to lot, chamber to chamber, and fab to fab). At the device level, controlling CD variation to within a few atoms will increasingly require the application of technologies such as atomic layer deposition (ALD) and atomic layer etching (ALE). Historically, some of these processes were deemed too slow for commercial production. Fortunately, we now have cost-effective solutions, and they are finding their way into volume manufacturing.

To complement these capabilities, advanced process control (APC) will be incorporated into systems to tune chemical and electrical gradients across the wafer, further reducing die-to-die variation. In addition, chamber matching has never been more important. Big data analytics and subsystem diagnostics are being developed and deployed to ensure that every system in a fab produces wafers with the same process results to atomic precision.

Looking ahead, we expect these new capabilities for advanced variability control to move into production environments sometime this year, enabling 10nm-node device fabrication.

2015: The year 3D-IC integration finally comes of age

SONY DSCPaul Lindner, Executive Technology Director, EV Group

2015 will mark an important turning point in the course of 3D-IC technology adoption, as the semiconductor industry moves 3D-IC fully out of development and prototyping stages onto the production floor. In several applications, this transition is already taking place. To date, at least a dozen components in a typical smart phone employing 3D-IC manufacturing technologies. While the application processor and memory in these smart devices continue to be stacked at a package level (POP), many other device components—including image sensors, MEMS, RF front end and filter devices—are now realizing the promise of 3D-IC, namely reduced form factor, increased performance and most importantly reduced manufacturing cost.

The increasing adoption of wearable mobile consumer products will also accelerate the need for higher density integration and reduced form factor, particularly with respect to MEMS devices. More functionality will be integrated both within the same device as well as within one package via 3D stacking. Nine-axis international measurement units (IMUs, which comprise three accelerometers, three gyroscopes and three magnetic axes) will see reductions in size, cost, power consumption and ease of integration.

On the other side of the data stream at data centers, expect to see new developments around 3D-IC technology coming to market in 2015 as well. Compound semiconductors integrated with photonics and CMOS will trigger the replacement of copper wiring with optical fibers to drive down power consumption and electricity costs, thanks to 3D stacking technologies. The recent introduction of stacked DRAM with high-performance microprocessors, such as Intel’s Knights Landing processor, already demonstrate how 3D-IC technology is finally delivering on its promises across many different applications.

Across these various applications that are integrating stacked 3D-IC architectures, wafer bonding will play a key role. This is true for 3D-ICs integrating through silicon vias (TSVs), where temporary bonding in the manufacturing flow or permanent bonding at the wafer-level is essential. It’s the case for reducing power consumption in wearable products integrating MEMS devices, where encapsulating higher vacuum levels will enable low-power operation of gyroscopes. Finally, wafer-level hybrid fusion bonding—a technology that permanently connects wafers both mechanically and electrically in a single process step and supports the development of thinner devices by eliminating adhesive thickness and the need for bumps and pillars—is one of the promising new processes that we expect to see utilized in device manufacturing starting in 2015.

2015: Curvilinear Shapes Are Coming

Aki_Fujimura_D2S_midresAki Fujimura, CEO, D2S

For the semiconductor industry, 2015 will be the start of one of the most interesting periods in the history of Moore’s Law. For the first time in two decades, the fundamental machine architecture of the mask writer is going to change over the next few years—from Variable Shaped Beam (VSB) to multi-beam. Multi-beam mask writing is likely the final frontier—the technology that will take us to the end of the Moore’s Law era. The write times associated with multi-beam writers are constant regardless of the complexity of the mask patterns, and this changes everything. It will open up a new world of opportunities for complex mask making that make trade-offs between design rules, mask/wafer yields and mask write-times a thing of the past. The upstream effects of this may yet be underappreciated.

While high-volume production of multi-beam mask writing machines may not arrive in time for the 10nm node, the industry is expressing little doubt of its arrival by the 7nm node. Since transitions of this magnitude take several years to successfully permeate through the ecosystem, 2015 is the right time to start preparing for the impact of this change.  Multi-beam mask writing enables the creation of very complex mask shapes (even ideal curvilinear shapes). When used in conjunction with optical proximity correction (OPC), inverse lithography technology (ILT) and pixelated masks, this enables more precise wafer writing with improved process margin.  Improving process margin on both the mask and wafer will allow design rules to be tighter, which will re-activate the transistor-density benefit of Moore’s Law.

The prospect of multi-beam mask writing makes it clear that OPC needs to yield better wafer quality by taking advantage of complex mask shapes. This clear direction for the future and the need for more process margin and overlay accuracy at the 10nm node aligns to require complex mask shapes at 10nm. Technologies such as model-based mask data preparation (MB-MDP) will take center stage in 2015 as a bridge to 10nm using VSB mask writing.

Whether for VSB mask writing or for multi-beam mask writing, the shapes we need to write on masks are increasingly complex, increasingly curvilinear, and smaller in minimum width and space. The overwhelming trend in mask data preparation is the shift from deterministic, rule-based, geometric, context-independent, shape-modulated, rectangular processing to statistical, simulation-based, context-dependent, dose- and shape-modulated, any-shape processing. We will all be witnesses to the start of this fundamental change as 2015 unfolds. It will be a very exciting time indeed.

Data integration and advanced packaging driving growth in 2015

mike_plisinski_hiMike Plisinski, Chief Operating Officer, Rudolph Technologies, Inc.

We see two important trends that we expect to have major impact in 2015. The first is a continuing investment in developing and implementing 3D integration and advanced packaging processes, driven not only by the demand for more power and functionality in smaller volumes, but also by the dramatic escalation in the number and density I/O lines per die. This includes not only through silicon vias, but also copper pillar bumps, fan-out packaging, hyper-efficient panel-based packaging processes that use dedicated lithography system on rectangular substrates. As the back end adopts and adapts processes from the front end, the lines that have traditionally separated these areas are blurring. Advanced packaging processes require significantly more inspection and control than conventional packaging and this trend is still only in its early stages.

The other trend has a broader impact on the market as a whole. As consumer electronics becomes a more predominant driver of our industry, manufacturers are under increasing pressure to ramp new products faster and at higher volumes than ever before. Winning or losing an order from a mega cell phone manufacturer can make or break a year, and those orders are being won based on technology and quality, not only price as in the past. This is forcing manufacturers to look for more comprehensive solutions to their process challenges. Instead of buying a tool that meets certain criteria of their established infrastructure, then getting IT to connect it and interpret the data and write the charts and reports for the process engineers so they can use the tool, manufacturers are now pushing much of this onto their vendors, saying, “We want you to provide a working tool that’s going to meet these specs right away and provide us the information we need to adjust and control our process going forward.” They want information, not just data.

Rudolph has made, and will continue to make, major investments in the development of automated analytics for process data. Now more than ever, when our customer buys a system from us, whatever its application – lithography, metrology, inspection or something new, they also want to correlate the data it generates with data from other tools across the process in order to provide more information about process adjustments. We expect these same customer demands to drive a new wave of collaboration among vendors, and we welcome the opportunity to work together to provide more comprehensive solutions for the benefit of our mutual customers.

Process Data – From Famine to Feast

Jack Hager Head ShotJack Hager, Product Marketing Manager, FEI

As shrinking device sizes have forced manufacturers to move from SEM to TEM for analysis and measurement of critical features, process and integration engineers have often found themselves having to make critical decisions using meagre rations of process data. Recent advances in automated TEM sample preparation, using FIBs to prepare high quality, ultra-thin site-specific samples, have opened the tap on the flow of data. Engineers can now make statistically-sound decisions in an environment of abundant data. The availability of fast, high-quality TEM data has whet their appetites for even more data, and the resulting demand is drawing sample preparation systems, and in some cases, TEMs, out of remote laboratories and onto the fab floor or in a “near-line” location. With the high degree of automation of both the sample preparation and TEM, the process engineers, who ultimately consume the data, can now own and operate the systems that generate this data, thus having control over the amount of data created.

The proliferation of exotic materials and new 3D architectures at the most advanced nodes has dramatically increased the need for fast, accurate process data. The days when performance improvements required no more than a relatively simple “shrink” of basically 2D designs using well-understood processes are long gone. Complex, new processes require additional monitoring to aide in process control and failure analysis troubleshooting. Defects, both electrical and physical, are not only more numerous, but typically smaller and more varied. These defects are often buried below the exposed surface which limits traditional inline defect-monitoring equipment effectiveness. This has resulted in renewed challenges in diagnosing their root causes. TEM analysis now plays a more prevalent role providing defect insights that allow actionable process changes.

While process technologies have changed radically, market fundamentals have not. First to market still commands premium prices and builds market share. And time to market is determined largely by the speed with which new manufacturing processes can be developed and ramped to high yields at high volumes. It is in these critical phases of development and ramp that the speed and accuracy of automated sample preparation and TEM analysis is proving most valuable. The methodology has already been adopted by leading manufacturers across the industry – logic and memory, IDM and foundry. We expect the adoption to continue, and with it, the migration of sample preparation and advanced measurement and analytical systems into the fab. 

Diversification of processes, materials will drive integration and customization in sub-fab

Kate Wilson PhotoKate Wilson, Global Applications Director, Edwards

We expect the proliferation of new processes, materials and architectures at the most advanced nodes to drive significant changes in the sub fab where we live. In particular, we expect to see a continuing move toward the integration of vacuum pumping and abatement functions, with custom tuning to optimize performance for the increasingly diverse array of applications becoming a requirement. There is an increased requirement for additional features around the core units such as thermal management, heated N2 injection, and precursor treatment pre- and post-pump that also need to be managed.

Integration offers clear advantages, not only in cost savings but also in safety, speed of installation, smaller footprint, consistent implementation of correct components, optimized set-ups and controlled ownership of the process effluents until they are abated reliably to safe levels. The benefits are not always immediately apparent. Just as effective integration is much more than simply adding a pump to an abatement system, the initial cost of an integrated system is more than the cost of the individual components. The cost benefits in a properly integrated system accrue primarily from increased efficiencies and reliability over the life of the system, and the magnitude of the benefit depends on the complexity of the process. In harsh applications, including deposition processes such as CVD, Epi and ALD, integrated systems provide significant improvements in uptime, service intervals and product lifetimes as well as significant safety benefits.

The trend toward increasing process customization impacts the move toward integration through its requirement that the integrator have detailed knowledge of the process and its by-products. Each manufacturer may use a slightly different recipe and a small change in materials or concentrations can have a large effect on pumping and abatement performance. This variability must be addressed not only in the design of the integrated system but also in tuning its operation during initial commissioning and throughout its lifetime to achieve optimal performance. Successful realization of the benefits of integration will rely heavily on continuing support based on broad application knowledge and experience.

Giga-scale challenges will dominate 2015

Dr. Zhihong Liu

Dr. Zhihong Liu, Executive Chairman, ProPlus Design Solutions, Inc.

It wasn’t all that long ago when nano-scale was the term the semiconductor industry used to describe small transistor sizes to indicate technological advancement. Today, with Moore’s Law slowing down at sub-28nm, the term more often heard is giga-scale due to a leap forward in complexity challenges caused in large measure by the massive amounts of big data now part of all chip design.

Nano-scale technological advancement has enabled giga-sized applications for more varieties of technology platforms, including the most popular mobile, IoT and wearable devices. EDA tools must respond to such a trend. On one side, accurately modeling nano-scale devices, including complex physical effects due to small geometry sizes and complicated device structures, has increased in importance and difficulties. Designers now demand more from foundries and have higher standards for PDK and model accuracies. They need to have a deep understanding of the process platform in order to  make their chip or IP competitive.

On the other side, giga-scale designs require accurate tools to handle increasing design size. The small supply voltage associated with technology advancement and low-power applications, and the impact of various process variation effects, have reduced available design margins. Furthermore, the big circuit size has made the design sensitive to small leakage current and small noise margin. Accuracy will soon become the bottleneck for giga-scale designs.

However, traditional design tools for big designs, such as FastSPICE for simulation and verification, mostly trade-off accuracy for capacity and performance. One particular example will be the need for accurate memory design, e.g., large instance memory characterization, or full-chip timing and power verification. Because embedded memory may occupy more than 50 percent of chip die area, it will have a significant impact on chip performance and power. For advanced designs, power or timing characterization and verification require much higher accuracy than what FastSPICE can offer –– 5 percent or less errors compared to golden SPICE.

To meet the giga-scale challenges outlined above, the next-generation circuit simulator must offer the high accuracy of a traditional SPICE simulator, and have similar capacity and performance advantages of a FastSPICE simulator. New entrants into the giga-scale SPICE simulation market readily handle the latest process technologies, such as 16/14nm FinFET, which adds further challenges to capacity and accuracy.

One giga-scale SPICE simulator can cover small and large block simulations, characterization, or full-chip verifications, with a pure SPICE engine that guarantees accuracy, and eliminates inconsistencies in the traditional design flow.  It can be used as the golden reference for FastSPICE applications, or directly replace FastSPICE for memory designs.

The giga-scale era in chip design is here and giga-scale SPICE simulators are commercially available to meet the need.

University of Wisconsin-Madison materials engineers have made a significant leap toward creating higher-performance electronics with improved battery life — and the ability to flex and stretch.

Led by materials science Associate Professor Michael Arnold and Professor Padma Gopalan, the team has reported the highest-performing carbon nanotube transistors ever demonstrated. In addition to paving the way for improved consumer electronics, this technology could also have specific uses in industrial and military applications.

In a paper published recently in the journal ACS Nano, Arnold, Gopalan and their students reported transistors with an on-off ratio that’s 1,000 times better and a conductance that’s 100 times better than previous state-of-the-art carbon nanotube transistors.

“Carbon nanotubes are very strong and very flexible, so they could also be used to make flexible displays and electronics that can stretch and bend, allowing you to integrate electronics into new places like clothing,” says Arnold. “The advance enables new types of electronics that aren’t possible with the more brittle materials manufacturers are currently using.”

Carbon nanotubes are single atomic sheets of carbon rolled up into a tube. As some of the best electrical conductors ever discovered, carbon nanotubes have long been recognized as a promising material for next-generation transistors, which are semiconductor devices that can act like an on-off switch for current or amplify current. This forms the foundation of an electronic device.

However, researchers have struggled to isolate purely semiconducting carbon nanotubes, which are crucial, because metallic nanotube impurities act like copper wires and “short” the device. Researchers have also struggled to control the placement and alignment of nanotubes. Until now, these two challenges have limited the development of high-performance carbon nanotube transistors.

Building on more than two decades of carbon nanotube research in the field, the UW-Madison team drew on cutting-edge technologies that use polymers to selectively sort out the semiconducting nanotubes, achieving a solution of ultra-high-purity semiconducting carbon nanotubes.

Previous techniques to align the nanotubes resulted in less-than-desirable packing density, or how close the nanotubes are to one another when they are assembled in a film. However, the UW-Madison researchers pioneered a new technique, called floating evaporative self-assembly, or FESA, which they described earlier in 2014 in the ACS journal Langmuir. In that technique, researchers exploited a self-assembly phenomenon triggered by rapidly evaporating a carbon nanotube solution.

The team’s most recent advance also brings the field closer to realizing carbon nanotube transistors as a feasible replacement for silicon transistors in computer chips and in high-frequency communication devices, which are rapidly approaching their physical scaling and performance limits.

“This is not an incremental improvement in performance,” Arnold says. “With these results, we’ve really made a leap in carbon nanotube transistors. Our carbon nanotube transistors are an order of magnitude better in conductance than the best thin film transistor technologies currently being used commercially while still switching on and off like a transistor is supposed to function.”

The researchers have patented their technology through the Wisconsin Alumni Research Foundation and have begun working with companies to accelerate the technology transfer to industry.

The SEMI Industry Strategy Symposium (ISS) opened yesterday with the theme “Riding the Wave of Silicon Magic.” The sold-out conference of the industry’s C-level executives highlighted favorable forecasts in the year’s first strategic outlook for the global microelectronics manufacturing industry.  The underlying drivers for growth and the next wave emerging from the Internet of Things (IoT) were discussed from several perspectives.

Opening keynoter Scott McGregor, president and CEO of Broadcom, traced the history of the industry’s more than 50 years of exponential improvements in silicon speed, power and design since Moore’s Law in 1965.  McGregor sees the next wave of Silicon Magic as a $15 trillion opportunity that will provide ubiquitous, nonstop, seamless high-speed connectivity.  Still, McGregor believes that three key issues challenge the industry’s growth.   First, patent reform, as patents are the foundation of the innovation economy and the global patent system does not meet today’s industry realities. Second, interoperability and standards, as IoT is raising the stakes for data privacy and security.  Finally, STEM education, as in the future, all businesses will be tech businesses.

In the Economic Trends session, presenters took on both macroeconomic and detailed industy-specific forecasts:

  • Nariman Behravesh, senior economist at IHS, presented the macroeconomic view of 2015 and the global implications brought on by the sharp drop in oil prices.  IHS predicted that the U.S. will grow in the 2.5-3.0 percent range in 2015 while other regions will be mixed: the European recovery will be slow, Japan’s economy will regain weak momentum, and China growth will continue to slow, but remain stronger than most. 
  • Mario Morales, VP at IDC, presented the 2015 semiconductor outlook. IDC saw the semiconductor market grow 7 percent in 2014 and projects 3.8 percent growth in 2015. Market growth will be led largely by automotive and industrial segments. 
  • Andrea Lati, principle analyst for VLSI Research, presented the 2015 semiconductor equipment outlook.  VLSI saw semiconductor equipment sales coming in at 17 percent growth in 2014 and forecasts 8 percent growth in 2015. VLSI noted the top 7 chipmakers accounted for 71 percent of spending in 2014 (vs. 56 percent in 2010). VLSI sees the consolidation driving an industry that has smaller cyclic peaks and is settling into a moderated two-year cycle cadence with fewer players having less incentive to individually make a market share grab.” 

Several presenters discussed the Internet of Things (IoT) and offered that the IoT provides an unprecedented growth opportunity — and understanding just what IoT is, at this stage, a challenge.  The lively session featured Frank Jones, VP and GM at Intel, David Ashley, VP of Customer Value Chain Management at Cisco Systems, Shawn DuBravac, chief economist and director of research at the Consumer Electronics Association (CEA), and Martin Reynolds, managing VP and fellow at Gartner.

Among the insights in the IoT session, Jones stressed that with all the IoT hype, it’s critical to demonstrate business value. Working with partners, he cited emerging IoT examples such as: saving 43 percent in time with an integrated “Smart Parking Solution” and improvements to Intel’s own factories with fab personnel defining a process step predictive maintenance tool (sensors and analytics) that saved $9 million per year.  Ashley made the point that with $19 trillion for the IoE at stake, the supply chain, including economic trends (labor wage inflation, government policy, shrinking life cycles) and ecosystem (supplier consolidation, visibility, consumer-driven technology) need to be addressed.  DuBravac focused on how everyday objects are becoming smarter and more connected and said that the key to technology should be what is meaningful as opposed to what is possible.

Days 2 and 3 at ISS will delve deeper into the underpinnings of the industry.  Technology and manufacturing insights will be discussed with presentations from:  TSMC, Altera, XMC, Intel, Honeywell, Micron, imec, ASE, IBM, Lux Research, Illumina, Cypress, Boing, and McKinsey.  A “Silicon Magic” panel will wrap up the conference with Intel, Lam Research, JSR, TSMC, and Qualcomm. The SEMI Industry Strategy Symposium (ISS) examines global economic, technology, market, business and geo-political developments influencing the semiconductor industry.

The Internet of Everything, cloud computing/big data and 3-D printing are the three technologies most likely to transform the world during the next five years, according to IHS Technology.

“We know that technology has the capability to change the world: from the Gutenberg printing press to the steam engine to the microchip,” said Ian Weightman, vice president, research & operations, IHS Technology. “But how can we determine which technologies are likely to have the greatest potential to transform the future of the human race? What is the process to distinguish among the innovations that will have limited impact and those that will be remembered as milestones on the path of progress? How can you tell the difference between the VHS and Betamax of tomorrow’s technologies?”

“To answer these questions, IHS Technology gathered its leading experts representing the technology supply chain from electronic components to finished products across applications markets ranging from consumer, media, and telecom; to industrial, medical, and power. These experts were asked to nominate and vote for their top 10 most impactful technologies over the next five years.”

The top three technologies were: 3-D printing in third place; cloud computing/big data at No. 2; and the Internet of Everything coming out on top.

Manufacturing moves to next dimension with 3-D printing

Also called additive manufacturing, 3-D printing encourages design innovation by facilitating the creation of new structures and shapes, and allows limitless product complexity without additional production costs. It also greatly speeds up time to market by making the idea-to-prototype cycle much shorter.

Total revenue for the 3-D printing industry is forecast to grow by nearly 40 percent annually through 2020, when the aggregated market size is expected to exceed $35.0 billion, up from $5.6 billion in 2014.

Cloud computing/big data brings metamorphosis to computing and consumer markets

The cloud has become a ubiquitous description for on-demand provisioning of data, storage, computing power and services that are touching nearly every consumer and enterprise across the globe. Together with data analytics and mobile broadband, the cloud and big data are poised to reshape almost every facet of the consumer digital lifestyle experience and dramatically impact enterprise information technology (IT) strategies, while creating new opportunities and challenges for the various nodes in the entire information, communications and technology (ICT) value chain.

The cloud is transformational in the business landscape, changing the way enterprises interact with their suppliers, customers and developers.

The big data and data analytics segment is a separate but related transformational technology that harnesses the power of the cloud to analyze data for disparate sources to uncover hidden patterns, enable predictive analysis and achieve huge efficiencies in performance.

IHS forecasts that global enterprise IT spending on cloud-based architectures will double to approximately $230 billion in 2017, up from about $115 billion in 2012.

The Internet of Things becomes the Internet of Everything

The world is in the early stages of the Internet of Things (IoT)—a technological evolution that is based on the way that Internet-connected devices can be used to enhance communication, automate complex industrial processes and generate a wealth of information. To provide some context on the magnitude of this evolution, more than 80 billion Internet-connected devices are projected to be in use in 2024, up from less than 20 billion in 2014, as presented in the attached figure.

While the IoT concept is still relatively new, it is already transforming into a broader model: the Internet of Everything (IoE). The metamorphosis covers not just the number of devices but envisages a complete departure from the way these devices have used the Internet in the past.

Most of the connected devices in place today largely require direct human interaction and are used for the consumption of content and entertainment. The majority of the more than 80 billion future connections will be employed to monitor and control systems, machines and objects—including lights, thermostats, window locks and under-the-hood automotive electronics.

Other transformative technologies identified by IHS Technology analysts were:

  • Artificial intelligence
  • Biometrics
  • Flexible displays
  • Sensors
  • Advanced user interfaces
  • Graphene
  • Energy storage and advanced battery technologies

2015-01-12_Connectable_Devices

With new cost-sensitive semiconductor devices driving capacity demand, 200mm wafer size and currently existing (legacy) fabs are seeing a renaissance,  SEMI completed a thorough study of the secondary fab equipment market to identify the market size and to capture key trends and issues impacting this industry segment. SEMI interviewed and surveyed integrated device makers (IDMs) and foundries. Companies were asked to provide information pertaining to the acquisition of previously installed tools for 150mm, 200mm, and 300mm manufacturing. The SEMI Secondary Fab Equipment Report is new, unique coverage for the industry. The report contains 26 pages and 29 figures and charts. The target audience is expected to be companies serving the secondary fab equipment supply chain, IDMs and foundries, and other industry analysts who need data to benchmark and analyze this market.

The semiconductor industry is maturing where annual double-digit fab capacity additions are less frequent, and the industry is spending in the range of $30 billion per year in new fab equipment. Investment in “legacy” fabs is important in manufacturing semiconductor products, including the emerging Internet of Things (IoT) class of devices and sensors, and remains a sizeable portion of the industries manufacturing base:

  • 150mm and 200mm fab capacity represent approximately 40 percent of the total installed fab capacity
  • 200mm fab capacity is on the rise, led by foundries that are increasing 200mm capacity by about 7 percent through to 2016 compared to 2012 levels
  • New applications related to mobility, sensing, and IoT are expected to provide opportunities for manufacturers with 200mm fabs

Out of the total US$ 27 billion spent in 2013 on fab equipment and US$ 31 billion spent on fab equipment  in 2014, secondary fab equipment represents approximately 5 percent of the total, or US$ 1.5 billion, annually. For 2014, 200mm fab investments by leading foundries and IDMs resulted in a 45 percent increase in spending for secondary 200mm equipment. Foundries are estimated to represent half of the 200mm equipment spending in 2014.

In developing the report, SEMI interviewed and surveyed IDMs and foundries. Direct spending input was obtained from 28 companies, and estimates were made for another 12 companies based on known capex plans, quarterly financial statements and transcripts, and capacity investment trends tracked by the SEMI World Fab Forecast database. The focus of the new report is on secondary fab equipment spending; secondary test equipment and assembly and packaging equipment were not included in this study. To order the report, visit www.semi.org/en/node/53676. For information on all SEMI Market research reports, visit www.semi.org/en/MarketInfo. For information on SEMI, visit www.semi.org

North American quantum dot manufacturer Quantum Materials Corp today announced it is increasing production capacity to 2000 kilograms (2 metric tons) of quantum dots and nanoparticles per annum in Q2 2015. The Company is able to leverage short development timelines to plan for increasing quantum dot production and anticipates further production expansion during the remainder of 2015.

“We have achieved quality, uniformity and scalability goals with our patented continuous-flow manufacturing process,” said Quantum Materials Corp CEO Stephen Squires, “and so are making the investments in production capacity and people to meet market demand for high-quality quantum dots. We have also made great strides in ramping-up volume production of both Cadmium-core and Cadmium-free (aka heavy-metal free) quantum dots. We perceive Cadmium-free quantum dots will drive future use, particularly in electronic goods destined for highly environmentally-regulated regions such as the European Union.”

The company has made significant capital investment in new automated nanoreactors, expanded lab space and scientific staffing to fulfill quality and quantity requirements for quantum dots in consumer electronics applications. Quantum Materials’ patented continuous-flow process produces quantum dots in the high volumes, uniformity and reliability needed for integration into UHD 4K LCD display, solid-state lighting, solar and biotech manufacturing industries. Up to this point, competitors’ batch synthesis methods have inhibited quantum dot-use in consumer electronics due to the limitations of a highly manual process in controlling quantum yield, color purity, volume production and the resultant higher production costs.

The company also released today an informative video detailing heavy–metal free quantum dot use and benefits in LCD display manufacturing.

Quantum Materials is at the forefront of Cadmium-free quantum dot development to allow manufacturers to meet and stay ahead of future environmental regulations governing dangerous materials in consumer electronic devices. Quantum dots are easily integrated into the industry-standard thin-film roll-to-roll inkjet and surface deposition technologies currently used in existing LCD display production lines and other next-generation printed electronics.

Quantum Materials executives CEO Stephen Squires and Senior Director of Business Development for Asia and the Pacific Toshi Ando are meeting with major LCD manufacturers at the 2015 International Consumer Electronics Show (CES). They will be participating in the Distributed Computing Industry Association’s (DCIA) “Internet of Things (IoT) Marathon” webcast.

Global shipments of diagnostic displays are forecast to grow at a 5 percent compound annual growth rate (CAGR), between 2014 and 2018. According to the latest DisplaySearch Specialty Displays Report, larger high-resolution wide-aspect-ratio displays are starting to become more popular, but 21.3-inch displays had a 67 percent share of unit shipments and a 65 percent share of revenues in the first half of 2014.

“The majority of future shipment growth will take place in emerging regions, not in developed regions, where much of the growth has previously occurred,” said Todd Fender, senior analyst professional and commercial displays for DisplaySearch, now part of IHS Inc. (NYSE: IHS). “At the county level, brands are looking to China, as the largest opportunity of growth, followed closely by Latin America.“

Fig. 1

Veteran radiologists who were trained on, and had previously read, images on traditional x-ray film using light boxes have been the driving force behind the continued strength of 21.3-inch displays with a 4:3 aspect ratio; however, as younger doctors enter the workforce, the legacy of film and grayscale-only images will slowly fade away. For example, in the first half of 2014, 43 percent of diagnostic displays were grayscale, but by 2018 these displays will represent just 34 percent of the market.

In today’s traditional picture archiving and communication (PACS) display ecosystem, multiple displays are used to review and read images; however, this configuration may lead to lower productivity and faster eye fatigue. Larger and higher resolution single screens have entered the market over the last few years, in an attempt to reduce or eliminate these issues. Displays with 6 megapixels (MP) to 10 (and higher) MPs are forecast to increase over the next several years, as users migrate from multiple screens to single-screen viewing.

Table 1

Clinical Review Displays and Surgical Displays

Similar to diagnostic shipments, clinical-review-display shipments are forecast to grow at a CAGR of 4 percent, between 2014 and 2018.  More than eight in 10 (83 percent) of clinical review display sizes fall between 19 inches and 22 inches, and 98 percent have a resolution of 2 MP or lower. “There will be a gradual shift to 4 MP and 8 MP wide aspect ratio displays as availability increases and as prices fall,” Fender said.

Surgical display shipments are forecast to grow more than any other medical-imaging category, reaching 7 percent CAGR between 2014 and 2018. Although almost half of surgical displays fall between 15 inches and 20 inches, the fastest area of growth is forecast to be in displays that 55 inches and larger, which are expected to grow at a 23 percent CAGR between 2014 and 2018. Additionally, 8 megapixel and 9 megapixel displays will grow significantly between 2014 and 2018; however, neither resolution will make up a large portion of the surgical display market.

“Larger displays are becoming more affordable, and they are being installed in surgical rooms as medical on-site and virtual professional collaboration becomes more popular,” Fender said. “Larger screens are much easier for multiple viewers, and many are also used as live teaching devices.”

LCD TV makers are responding to the challenge of OLED, with quantum dot (QD) technology, curved screens and other innovations. According to new information from DisplaySearch, now part of IHS Inc. (NYSE: IHS), in order to boost consumer value in the LCD television market, 4K ultra-high-definition (UHD) enhanced-color LCD TVs, using quantum dot (QD) technology will become available in 2015, with 1.3 million shipping worldwide. Shipments of quantum dot TVs are expected to grow to 18.7 million in 2018.

“While LCD technology undisputedly dominates the TV scene, manufacturers continue to innovate, in order to bring additional value to consumers,” said Paul Gray, director of European research at DisplaySearch. “The launch of new 4K UHD services promises to foment another round of innovation, as content creators bring richer, deeper colors to their art. Curved screens are also a popular feature this year, but there will be limited opportunity for growth, as the market for this feature is expected to peak next year.”

Based on information in the DisplaySearch Quarterly TV Design and Features ReportITU-R Recommendation BT.2020 (rec.2020) colors promise a new level of fidelity that beyond the range of current high-definition TVs. “While broadcasters and cinematographers have begun to capture such images, the television industry has just started to respond to the challenge,” Gray said.

Fig 1

“Quantum dot is one of the weapons that the LCD industry is using to create ever more faithful images, which are very close to the full viewable range of the human eye,” Gray said. “Broadcasters are finalizing their plans for UHD, but they very clearly want there to be more to their UHD services than simply extra pixels. Richer colors work on any screen size, regardless of one’s visual acuity, and subtle shading increases the perception of reality. Quantum dot is part of the LCD industry’s response to the challenge posed by OLED technology and its use demonstrates that there is still room for innovation.”

Curved LCD TVs

A similar response to the challenge posed by OLED can be seen in the emergence of curved LCD TVs, which proves that LCD has further opportunities for innovation. In fact 1.8 million curved TVs are expected to ship in 2014, peaking at 8.2 million in 2016 and 2017. DisplaySearch analysts anticipate that Western Europe will be the dominant region for curved TVs, with 2.6 million shipping in both 2016 and 2017, resulting from consumer taste for unique design and Samsung’s dominant market share.

“Curved TVs are an industry styling fashion, in the same way that sets became very thin when the first LED backlights were introduced,” Gray said. “In due course, such fashions can burn through, leaving enduring value. For example, the legacy of thin TVs is their lower power consumption. It is easy to dismiss fashion, but it remains a critical element in maintaining value and consumer interest in the TV category.”

Fig 2

The Quarterly TV Design and Features Report tracks all 4K UHD TV product ranges, forecasts, video processing and broadcasting; plus, detailed information on other aspects of TV design such as smart TV, backlighting technology, OLED and 3D. This report is delivered in PowerPoint and includes Excel-based data and tables.