Category Archives: Displays

By Shannon Davis, Web Editor

Overheard @The ConFab: “I feel the best I’ve felt about semi since 2009.” –Mike Noonen, Silicon Catalyst

Monday’s research and development panel discussion at The ConFab 2014 started on that optimistic note as Moderator Scott Jones of AlixPartners led a discussion on Optimizing R&D Collaboration. Panelists Chris Danely of JP Morgan, Lode Lauwers of imec, Rory McInerney of Intel and Mike Noonen of Silicon Catalyst discussed where the next big growth drivers will come from and the ability of the industry to continue scaling and remain on Moore’s Law through the introduction of new technologies such as EUV, Advanced Packaging and 450mm. The panel also touched on the role startups will play and how increased collaboration can benefit the industry.

Here are highlights from Monday’s discussion.

How do you feel about the semiconductor cycle – is that at a positive point for innovation and small, start-up companies?

Mike Noonen: I feel the best about I’ve felt about semi since 2009. Without a doubt. When you combine that situation that we’re in with a couple driving forces, all of that has fundamental benefits to the semiconductor business at large. You take those mega trends that are not leading edge applications with the challenge of Moore’s Law – those are developing a whole host of innovation. We think this is a great time to think about how to reinvigorate startups – this is the best time to think about innovation.

From left to right: Panelists Chris Danely of JP Morgan, Mike Noonen of Silicon Catalyst, Lode Lauwers of imec, and Rory McInerney of Intel

From left to right: Panelists Chris Danely of JP Morgan, Mike Noonen of Silicon Catalyst, Lode Lauwers of imec, and Rory McInerney of Intel

Consolidation is a big theme right now. Is this something that’s holding us back the industry?

Rory McInerney: I don’t think the industry is consolidating for us as much as we think. The big players are still HP, Lenovo, etc. The new players are Google, Facebook, Amazon, etc. – many didn’t exist 10 years ago. Within our world, there’s the traditional space, but there’s a ton of new stuff in the cloud and server segment.

Tell us some of the most exciting areas Intel is participating in.

Rory McInerney: On the data center side, we do want our 10 and 7nm, but one of the drivers of our business is the massive amount of data being generated around the world. There are tens of billions of devices that will be connected to the Internet in the few years. The only commonality in the [IoT] numbers is that they go up. All of them will have some element of connectivity and with that comes data. And that drives a virtual cycle. In our business, we love this – my point is, there’s a huge room for innovation. The innovation isn’t just the device but the software and application side.

How do investors view the emerging markets and trends? Do they see the opportunities or are they still focusing on traditional markets?

Chris Danely: From a broad perspective, the thing that an analyst looks at – are they playing to their strengths? You might have a company that starts out very successful, but they don’t play to their strengths and start to waste money. For example, Texas Instruments has taken their R&D down, but still outgrow the industry, because they play to their strengths. Another example is Intel – in the last 3 years, they were in the foundry business – we see a lot of potential to upset the apple cart in the foundry business. Nobody else could do this, but this is an area where we see them exploiting their strengths. Is the company playing to its strengths? We also look at ARM on servers – we don’t know if this is going to work or not, but I don’t think this changing the landscape of the industry. There’s still a bright future with semiconductor stocks.

How can executives communicate their R&D strategy better?

Chris Danely: I’ll use my personal experience – you want to keep that message very simple. Identify the growth trends. Make sure the message goes out continuously. Don’t be afraid to use a few buzz words/charts.

Lode Lauwers: If I may, Wall Street is looking in the short term. Time scale [for R&D] is close to 15 years. I don’t know if Wall Street has that visibility. I think a company should consider R&D as a long term investment. We go for long term engagements.

Rory McInerney: It’s a portfolio question in terms of R&D – you’re going to have your short term and your long term investments. I don’t think Wall Street is looking at all the details of investments. I think that our investments on the product side go out 10 years, but they’re small compared to our other investments.

Chris Danely: Wall Street has to consider about things on a six month basis.

Mike Noonen: Biotech, which has a very long time to market, is the second largest venture capital in the US. Biotech has remained lucrative and interesting in the US. In this area, companies go after a single application or problem, and it’s a vibrant and healthy investment. The take away is – it’s all about the economics. It might enable small start ups to innovate and then be acquired.

How should the industry leverage a company like imec?

Lode Lauwers: More than ever, you need to build partnerships. In this industry, we used to say, “Our company can work on its own.” Now, your ecosystem needs to become wider. Ten years ago, people were still sponsoring R&D. Now we are assessed in every individual area, deliverable by deliverable, on does it benefit, is there ROI. You need to be able to deliver relevant work. A company on its own doesn’t always have these abilities in house. Using imec, it’s like building on competences.

Do you see differences in how you approach partnerships?

Chris Danely: The CEOs and CFOs of semi companies are under pressure to not increase expenses, and that’s stifled risk-taking. Some are now approaching R&D through acquisition of startups with personnel – rather than partnerships.

Do you think these companies are larger – semi is a part of a much larger landscape – do you think this might drive the industry/change the landscape?

Rory McInerney: About 70-80 percent of cloud computing today is driven by the social media. That didn’t exist 5 years ago. There is a direct link between that and the changing semi landscape.

What is the biggest risk in the industry right now?

Chris Danely: Saturation. Semi companies are profitable, but we’re starting to see a lot of them, especially as fablite and fabless models are catching on.

Moderator Scott Jones of AlixPartners

Moderator Scott Jones of AlixPartners

When it comes to electronics, silicon may one day have to share the spotlight. In a paper recently published in Nature Communications, researchers from the USC Viterbi School of Engineering describe how they have overcome a major issue in carbon nanotube technology by developing a flexible, energy-efficient hybrid circuit combining carbon nanotube thin film transistors with other thin film transistors. This hybrid could take the place of silicon as the traditional transistor material used in electronic chips, since carbon nanotubes are more transparent, flexible, and can be processed at a lower cost.

Electrical engineering professor Dr. Chongwu Zhou and USC Viterbi graduate students Haitian Chen, Yu Cao, and Jialu Zhang developed this energy-efficient circuit by integrating carbon nanotube (CNT) thin film transistors (TFT) with thin film transistors comprised of indium, gallium and zinc oxide (IGZO).

“I came up with this concept in January 2013,” said Dr. Chongwu Zhou, professor in USC Viterbi’s Ming Hsieh Department of Electrical Engineering. “Before then, we were working hard to try to turn carbon nanotubes into n-type transistors and then one day, the idea came to me. Instead of working so hard to force nanotubes to do something that they are not good for, why don’t we just find another material which would be ideal for n-type transistors—in this case, IGZO—so we can achieve complementary circuits?”

Carbon nanotubes are so small that they can only be viewed through a scanning electron microscope. This hybridization of carbon nanotube thin films and IGZO thin films was achieved by combining their types, p-type and n-type, respectively, to create circuits that can operate complimentarily, reducing power loss and increasing efficiency. The inclusion of IGZO thin film transistors was necessary to provide power efficiency to increase battery life. If only carbon nanotubes had been used, then the circuits would not be power-efficient. By combining the two materials, their strengths have been joined and their weaknesses hidden.

Zhou likened the coupling of carbon nanotube TFTs and IGZO TFTs to the Chinese philosophy of yin and yang.

“It’s like a perfect marriage,” said Zhou. “We are very excited about this idea of hybrid integration and we believe there is a lot of potential for it.”

The potential applications for this kind of integrated circuitry are numerous, including Organic Light Emitting Diodes (OLEDs), digital circuits, radio frequency identification (RFID) tags, sensors, wearable electronics, and flash memory devices. Even heads-up displays on vehicle dashboards could soon be a reality.

The new technology also has major medical implications. Currently, memory used in computers and phones is made with silicon substrates, the surface on which memory chips are built. To obtain medical information from a patient such as heart rate or brainwave data, stiff electrode objects are placed on several fixed locations on the patient’s body. With this new hybridized circuit, however, electrodes could be placed all over the patient’s body with just a single large but flexible object.

With this development, Zhou and his team have circumvented the difficulty of creating n-type carbon nanotube TFTs and p-type IGZO TFTs by creating a hybrid integration of p-type carbon nanotube TFTs and n-type IGZO TFTs and demonstrating a large-scale integration of circuits. As a proof of concept, they achieved a scale ring oscillator consisting of over 1,000 transistors. Up to this point, all carbon nanotube-based transistors had a maximum number of 200 transistors.

“We believe this is a technological breakthrough, as no one has done this before,” said Haitian Chen, research assistant and electrical engineering PhD student at USC Viterbi. “This gives us further proof that we can make larger integrations so we can make more complicated circuits for computers and circuits.”

The next step for Zhou and his team will be to build more complicated circuits using a CNT and IGZO hybrid that achieves more complicated functions and computations, as well as to build circuits on flexible substrates.

“The possibilities are endless, as digital circuits can be used in any electronics,” Chen said. “One day we’ll be able to print these circuits as easily as newspapers.”

Zhou and Chen believe that carbon nanotube technology, including this new CNT-IGZO hybrid, could be commercialized in the next five to 10 years.

“I believe that this is just the beginning of creating hybrid integrated solutions,” said Zhou. “We will see a lot of interesting work coming up.”

By Shannon Davis, Web Editor

Many questions were in the air today at the 10th annual ConFab 2014, and perhaps one of the most interesting was raised during Dr. Roawen Chen of Qualcomm’s opening keynote, “What’s On Our Mind” when he asked, “Do we need Moore’s Law? Should we pursue it unconditionally?

The ConFab, held in Las Vegas, NV at The Encore, this week brings together over a hundred different top executives and key decision makers in the semiconductor and equipment supplier industries. Throughout the week, The ConFab allows a space for discussion in conference sessions as well as private meetings, allowing for much-needed industry collaboration.

We’ve often postulated extending Moore’s Law. We’ve even heard that it’s already dead.

Dr. Chen began his keynote with absolute certainty:

Pictured: Dr. Roawen Chen, Senior Vice President of Global Operation at Qualcomm

Pictured: Dr. Roawen Chen, Senior Vice President of Global Operation at Qualcomm

“The ride with Moore’s Law will eventually end,” he said, “but not because of a technical reason, but because of a financial reason.”

“I don’t think there will be enough volume for 7nm and below to make it a good ROI,” he continued.

He did, however, say that if EUV was ready tomorrow, it would change his outlook.

Dr. Chen remained quite positive that the semiconductor industry would flourish in spite of this and gave several reasons why this would be the case, chief among them was the growth of mobile applications and the resulting impact on the semiconductor industry.

Not everything demands Moore’s Law,” he said. “A lot of future, killer apps don’t need leading edge. You don’t need to migrate everything to leading edge.”

Given the current and growing complexity of consumer devices, in particular mobile, the need for new innovation and packaging solutions is bigger than ever, Dr. Chen explained.

“Innovation always wins,” he said. “We’ve been using the same playbook for many, many years. We have to adapt to the new reality.”

Ten years ago, he said, the enterprise was predictable and stable. PCs were the biggest semiconductor consumer – volume was higher, but seasonal. Smartphones, however, have become a lifestyle statement product, not just an IT device, and the demand has become more volatile.

Another change the semiconductor industry must adapt to is a new set of key industry drivers. The PC industry was driven by computing hardware, whereas the mobile ecosystem is driven by smartphone consumer data, not processing power, he explained. Surviving in a post-Moore’s Law world requires deriving value from downstream in the semiconductor ecosystem. Deeper collaboration within the supply chain is more necessary than ever before, Dr. Chen explained.

“The bull whip effect is also more pronounced in the mobile era,” said Dr. Chen, “and volatile customer demand amplifies the effect further up the supply chain.”

He also recommended a roadmap exchange on technology and manufacturing readiness.

Ultimately, while he has heard many in the industry sound apocalyptic-esque warnings, he and his Qualcomm colleagues remain optimistic.

“’The end is near’? I don’t believe so,” he said. “There is still plenty of opportunity for new innovation.”

Attendees of The ConFab 2014 at the morning keynote, sponsored by Brewer Science, on Monday, June 23, 2014.

Attendees of The ConFab 2014 at the morning keynote, sponsored by Brewer Science, on Monday, June 23, 2014.

GLOBALFOUNDRIES recognized the winners of its first-ever global Supplier Awards at the company’s 2014 Supplier Day event in Malta, NY on Thursday, June 19, 2014. Recipients were recognized for their exceptional collaboration and support, as well as a demonstrated ability to perform at the highest level based on the specific needs of GLOBALFOUNDRIES, the company said in its official release.

JSR Corporation was named the recipient of the Excellence Award for Collaboration and Sustained Support. JSR Corporation, headquartered in Tokyo, provides advanced materials for the semiconductor, flat panel display, and optical materials fields. JSR Corporation demonstrated superior collaboration with GLOBALFOUNDRIES on programs that drive defectivity improvement cost-effectively. JSR Corporation is the first supplier to meet GLOBALFOUNDRIES’ formal award criteria.

Additionally, Spotlight Awards for suppliers that exhibited superior performance and collaboration were presented to Lam Research, Tokyo Electron Ltd. and SUMCO Corporation.

“The goal of the Supplier Day event and Awards is to strengthen relationships with key suppliers who are committed to collaborating with GLOBALFOUNDRIES to deliver maximum value to our customers,” said Magnus Matthiasson, GLOBALFOUNDRIES chief procurement officer. “We are pleased to recognize suppliers that support GLOBALFOUNDRIES’ commitment to manufacturing excellence, and we thank them for their dedication and support.”

The Supplier Day event provides a collaborative forum to foster strong supplier relationships and continually improve GLOBALFOUNDRIES’ supply chain capabilities. This year’s event, themed ‘Collaborative Growth,’ drew top executives from over 30 of GLOBALFOUNDRIES key suppliers.

This is GLOBALFOUNDRIES’ first annual Supplier Awards, a program that is being launched to recognize supplier excellence. The program identifies the highest performing suppliers by assessing their execution to GLOBALFOUNDRIES’ goals for technology, quality, cost and operational indicators.

Ultrathin glass is well suited for use as interposers in semiconductor packaging applications.

BY JUILA GOLDSTEIN, Senior Associate Analyst, NanoMarkets, Glen Allen, VA

Flexible glass seemed like a natural fit for the display industry, combining the impermeability of glass with the flexibility of plastic. In 2012 it appeared as though flexible and ultrathin glass companies were going to benefit from the explosion of touchscreens in displays of all sizes, but the market made an abrupt turnaround. Now suppliers of ultrathin and flexible glass are looking for applications beyond displays to bring in revenue in the next few years, and one of the places they are looking is in semiconductor packaging.

For those who approach flexible glass from the point of view of a display, an application where the glass is hidden between layers of silicon and other materials may not seem to make a lot of sense. As far as NanoMarkets can tell, no one really thought about semiconductor packaging as a use for flexible glass until the display application began to fail. The flexible glass sector itself was firmly focused on displays until then and the semiconductor packaging sector had probably never considered flexible glass as an option.

Nonetheless, using ultrathin glass in semiconductor packaging may actually be a very good idea, even though its optical properties and flexibility may be irrelevant in this application.

The Role of glass in interposers

For many years the semiconductor packaging industry has been developing packages that are smaller, thinner, and lighter than what has come before. Ultrathin glass, 30 to 100 μm, may be able to further progress toward this goal.

The target application is 2.5D or 3D multi-chip or chip scale packages (CSP), where semiconductor chips are placed in close proximity or stacked on top of each other to provide a space-saving configuration. Such packages traditionally use a layer of thinned silicon as an interposer to connect chips to each other and to the underlying organic substrate. Silicon has the advantage of being a familiar material with a well-established infrastructure in the semiconductor packaging industry, but it does have some drawbacks, the major one being cost.

FIGURE 1. A 30 μm thick flexible glass interposer made by Schott Glass.

FIGURE 1. A 30 μm thick flexible glass interposer made by Schott Glass.

Glass may be preferable to silicon as an interposer because it is a less expensive material, it can be provided in thin sheets (silicon has to be ground and polished to the proper thickness) and it is thermally insulating. Silicon is a semicon- ductor, not an electrical insulator, which can cause problems with crosstalk between chips. FIGURE 1 shows a 30 μm thick flexible glass interposer made by Schott Glass.

Silicon conducts heat better than glass, making the semiconductor industry a bit suspicious of the ability of glass to conduct heat sufficiently to avoid hot spots in sensitive ICs. The answer is in the through-glass vias (TGV), channels drilled through the interposer that are filled with metal (usually copper) and form electrical connections between the chip and the organic substrate. Solid filled vias act like heat pipes to provide a path for heat conduction.

The potential cost advantages of glass can best be achieved using large sheets of glass, thus allowing facilities to process more units in parallel than is possible with silicon wafers. The largest possible cost savings of using flexible glass is realized if it can be integrated into a roll- to-roll production process. Several suppliers are producing flexible glass on rolls, but the semiconductor industry is not necessarily prepared to process it.

Re-evaluating the supply chain

While glass may be a compelling interposer material from the point of view of glass makers, lack of infra- structure in this application is a real problem. In order for glass to be useful as an interposer, someone needs to drill vias through the glass and metallize them, and it is not yet clear who that would be. Several industries could participate in the supply chain, but there are barriers in all cases:

  • Semiconductor packaging houses: The industry is not used to working with glass and is not inclined to do so. It is very resistant to change and may be especially averse to implementing R2R processing. Convincing semiconductor packaging facilities to process glass will clearly be an uphill battle.
  • Flat-panel display manufacturers: These companies have experience with glass but have not historically had anything to do with semiconductor packaging. It may be possible to build awareness in this sector, but the flat panel display industry prefers to sell large pieces of glass.
  • Printed circuit board manufacturers: The PCB industry currently makes organic interposers, geared toward applica- tions where fine pitch is not required. Glass suppliers might be able to work with the PCB industry, which is used to large panels, if they want to supply sheets of glass. It still may be difficult, however, to implement very thin glass using this approach. It also will probably be difficult to integrate TGV production into a PCB-like process flow.

Organizations that are promoting ultrathin glass interposers are attempting to address the infrastructure challenge:

  • Georgia Tech: The Packaging Resource Center at Georgia Tech has been working with industry partners on glass interposers since 2010 and has moved from initial trials with 180-μm thick glass down to the thinnest products that today’s glass suppliers are producing. The PRC is working with major glass suppliers such as Corning and Schott, who are interested in flexible glass interposers.

The PRC has been working on transferring the technology from prototype to low volume, and perhaps eventually high volume, commercial production. It has made some real progress in developing the technology and moving proto- typing from labs into industry, but admits that the greatest challenge in moving forward is lack of infrastructure to support the transition.

nMode solutions that is partially funded by Asahi Glass Company, is providing some missing segments in the supply chain. Triton has developed a production process to create through glass vias (TGVs) that is sufficient for today’s 2.5D applications and it is making interposers for MEMS, RF, and optics at its manufacturing facility in Carlsbad, CA. According to Triton, the major advantage it provides over silicon is the ability to produce solid filled, hermetic TGVs.

Existing commercial products use glass interposers from Triton, but this is much thicker glass, typically 0.3mm or greater. The glass is cut into wafers, matching the form factor of silicon but not requiring backgrinding. This provides the convenience of a process that fits easily into existing manufacturing lines but doesn’t take advantage of glass’ potential to provide thinner interposers at much lower cost than silicon. Triton can make large panels of 0.1-mm glass with TGVs, but customers do not know how to handle it and may not be inclined to learn.

NanoMarkets understands the potential advantage thin glass would have as an interposer, but is not especially optimistic about its future, especially in the near term. It seems very unlikely that flexible glass will be able to generate large revenues in this space, even if penetration rates get large. Each product uses a very small amount of glass compared to what would be needed for even a smart phone display.

The semiconductor packaging industry may be an even more difficult environment for introducing new processes than the display industry, and we know flexible glass has had challenges there. Still, we feel this sector is worth keeping an eye on to see if glass has an opportunity to succeed where silicon has not.

Storing gas on a sorbent provides an innovative, yet simple and lasting solution.

BY KARL OLANDER, Ph.D. and ANTHONY AVILA, ATMI, Inc., an Entegris company, Billerica, MA

The period following the introduction of subatmospheric pressure gas storage and delivery was punctuated by continuous technical innovation.

Even as the methodology became the standard for supplying ion implant dopants, it continued to rapidly evolve and improve. This article reflects on the milestones of the last 20 years and considers where this technology goes from here.

From the beginning, the semiconductor industry’s concern over using highly toxic process gases was evident by the large investment being made in dedicated gas rooms, robust ventilation systems, scrubbers, gas containment protocols and toxic gas monitoring. While major advances have been made in the form of automated gas cabinets and valve manifold boxes, gas line components, improved cylinder valves and safety training, the underlying threat of a catastrophic gas release remained.

Risk factors targeted

The underlying risk with compressed gases is twofold: high pressure, which provides the motive force to discharge the contents of a cylinder, and secondly, a relatively large hazardous production material inventory, which can be released during a containment breach. Pressure also is a factor in component failure and gas reactivity, e.g., corrosion. Mitigating these issues would considerably increase safety.

FIGURE 1. The stages of developing a new chemical precursor for use in commercial IC production.

FIGURE 1. The stages of developing a new chemical precursor for use in commercial IC production.

Analysis of the risks suggested an on-demand, point-of-use gas generator would improve safety by both reducing operating pressure and gas inventory[1]. The challenges associated with this approach include complexity of operation and gas purity, especially in a fab or process tool setting. Chemical generation of arsine, while possible, per equation [A], also substituted a highly reactive toxic solid for arsine[2]. Considerable safety and environmental issues accompanied the operation of such a generator. An on-demand, point-of-use electrochemical approach for supplying arsine, per equation [B], would also eliminate the need for high pressure storage if the associated operational issues could be overcome. Numerous attempts at developing a commercial electrochemical generator just never proved successful[3].

[A] KAsH2 + H2O —> AsH3/H2O + KOH
[B] As(s) + 3H2O + 3e(-) —> AsH3(g) + 3OH(-)

Innovation from a simple(r) solution

Pressure swing adsorption processes utilize the selective affinity between gases and solid adsorbents, and are widely used to recover and purify a range of gases. Under optimal conditions, the gas adsorption process releases energy and produces a material that behaves mores like a solid than a gas.

Early work at reversibly adsorbing toxic materials on a highly porous substrate showed promise. In 1988, the Olin Corporation described an arsine storage and delivery system where the gas was [reversibly] adsorbed onto a zeolite, or microporous alumino- silicate, material[4]. A portion of the stored gas could be recovered by heating the storage vessel to develop sufficient arsine pressure to supply a process tool. In 1992, ATMI supplied a prototype system based on the Olin technology to the Naval Research Lab in Washington, D.C.

The breakthrough that lead to the first commercial subatmospheric pressure gas storage and delivery system occurred when ATMI reported the majority of the adsorbed gas could be supplied to the process by subjecting the storage vessel to a strong vacuum. Using vacuum rather than thermal energy simplified the process, providing the means for an on-demand system[5]. Using a sorbent had the effect of turning the gas into something more akin to a “solid.” That characteristic, coupled with the absence of a pressure driver, delivered an inherently safe condition. The vacuum delivery condition also helped define where the technology would find its first application: ion implantation[6].

Safe and efficient gas storage and delivery

In 1993, prototype arsine storage and delivery cylinders based on vacuum delivery were beta tested at AT&T in Allentown, PA[g] [f]. The system was trademarked Safe Delivery Source®, or SDS®. Papers were presented on safe storage and delivery of ion implant dopant gases the following year in Catania, Sicily at the International Ion Implant Technology Conference[7].

The goal to find a safer method to offset the use of compressed gases was realized: (1) gas is stored at low pressure (ca. 650 Torr at 21°C) and (2) the potential for large and rapid gas loss is averted. Leaks, if they occur, whether by accidental valve opening or a containment breach, would be first inward into the cylinder. Once the pressure equalizes, gas loss to the environment would be governed mainly by diffusion as the gas molecules remain associated with the sorbent. The SDS package, while not a gas generator per se, effectively functions like one.

FIGURE 2. Cutaway view of SDS3 carbon pucks within a finished cylinder.

FIGURE 2. Cutaway view of SDS3 carbon pucks within a finished cylinder.

While subatmospheric pressure operation is an artifact of having to “pull the gas” away from the sorbent, it has become synonymous with safe gas delivery. The optimization work which followed focused on reducing pressure drop in the gas delivery system by improving conductance in valves, mass flow controllers and delivery lines. A restrictive flow orifice was no longer required. The new gas sources proved to work best when in close proximity to the tool.

The years after this technology introduction also saw considerable efforts to improve the sorbent; ultra-pure carbon replaced the zeolite-based material used in the first generation SDS (SDS1), roughly doubling the deliverable quantities of gas per cylinder. These granular carbon sorbents in the SDS2 were later replaced by solid, round monolithic carbon “pucks” in SDS3 (FIGURE 2), which necessitated the cylinder be built around the sorbent[8]. This improvement again roughly doubled gas cylinder capacity.

Recognized in international standards

In 2012, the United Nations (U.N.) recognized the uniqueness of adsorbed gases and amended the Model Regulation for the Transport of Dangerous Goods by creating a new “condition of transport” for gases adsorbed on a solid and assigning a total of 17 new identification numbers and shipping names to the Dangerous Good List. Adoption is expected to occur by 2015. A few of the additions are noted here.

Arsine   – UN 2188 – compressed
Arsine, adsorbed – UN 3522 – SDS
Phosphine – UN 2199 – compressed
Phosphine, adsorbed – UN 3525 – SDS

FIGURE 3. The evolution of a SAGS Type 1 gas package.

FIGURE 3. The evolution of a SAGS Type 1 gas package.

In recent years, fire codes have been updated through the definition and classification of subatmospheric Gas Systems, or SAGS, based on the internal [storage] pressure of the gas.9 Systems based on both sub-atmospheric pressure storage and delivery are designated as Type 1 SAGS. It is important to note that the UN definition for adsorbed gases, and the resulting new classifications mentioned above, only applies to Type 1 SAGS, defined as follows:

3.3.28.5.1 Subatmospheric Gas Storage and Delivery System (Type 1 SAGS). A gas source package that stores and delivers gas at sub-atmospheric pressure and includes a container (e.g., gas cylinder and outlet valve) that stores and delivers gas at a pressure of less than 14.7 psia at NTP.

It is also worth mentioning that sub-atmospheric pressure gas delivery can also be achieved using high pressure cylinders by embedding a pressure reduction and control system. The Type 2 SAGS typically employs a normally closed, internal regulator[s] that a vacuum condition to open. This is not a definition of sub-atmospheric storage and delivery, but of sub-atmospheric delivery only.

3.3.28.5.2 Subatmospheric Gas Delivery System (Type 2 SAGS). A gas source package that stores compressed gas and delivers gas subatmospherically and includes a container (e.g., gas cylinder and outlet valve) that stores gas at a pressure greater than 14.7 psia at NTP and delivers gas at a pressure of less than 14.7 psia at NTP.

In general, Environmental Safety and Health managers, risk underwriters and authorities having jurisdiction recognize the importance of SAGS and requires recommend their use whenever process conditions allow[10].

Expanding SAGS into new applications

Taking the lessons learned from SDS2/SDS3 in ion implant operations, along with key findings from
other applications like HDP-CVD (the SAGE package) and combined with sorbent purification and carbon nanopore size tuning, SAGS Type 1 packages are poised to offer their safety advantages in new and emerging areas, as well as add even more safety and efficiency benefits. Currently, a new package called Plasma Delivery SourceTM (PDSTM) is available for high flow rate applications, while maintaining all the safety attributes of the SAGS Type 1 package.

Also, in addition to the inherent safety, PDS employs a pneumatic operator (valve) to the cylinder which further minimizes the opportunity for human error. In an emergency, such as a toxic gas alarm, pressure excursion, loss of exhaust, etc., gas flow at the source can be quickly stopped and the cylinder isolated. Cycle/purge operations are made safer as human involvement is minimized. Human-initiated events, like over-torqueing the valve, failing to close the valve or even back-filling a cylinder with purge gas, are prevented.

SDS1 SDS2 SDS3
Arsine 200 559 835
Phosphine 85 198 385

Expanding the use of SAGS beyond the domain of ion implant involves successfully navigating key process factors such as operating pressure, flow rates, proximity to the tool and purity. One approach includes coupling the PDS cylinder and gas cabinet together to yield a plug and play “smart” delivery system. Unlike high pressure systems, which are more concerned with excess flow situations, knowing and controlling pressure allows a SAGS cabinet to operate at a reduced risk. This enables linking cabinet ventilation rates with the system operating pressure. During normal operating conditions, the exhaust rate could be reduced by up to 80 percent because the system is operating sub-atmospherically. Should the operating pressure exceed a preset threshold, the exhaust flow would automatically revert to a higher range or the cylinder valve would close.

The future, therefore, could see these PDS packages extended to another level by incorporating them into smart delivery systems, which will further reduce risk, maximize efficiency, improve cost of ownership and expand the footprint for SAGS into new applications like plasma doping, solar, epitaxy and etch.

Summary

During the last 20 years, the semiconductor industry undertook a large effort to develop safer gas delivery technologies to reduce risks associated with dopants used in ion implant. Many technologies were considered, including chemical and electrochemical gas generators, complexing gases with ionic liquids or mechanically controlling cylinder discharge pressure using embedded regulator devices.

In the end, storing gas on a sorbent provided an innovative, yet simple and lasting solution. Gas-sorbent interactions are well understood, reproducible and can be achieved with a minimum of moving parts. Gas release risks, driven by pressure, are all but removed from consideration. And any potential for human error continues to be a target for improvement wherever toxic gases are used.

References

1. Proc. Natl. Acad. Sci. USA 89 pp 821-826, 1992.
2. Appl. Phys. Lett., 60 1483
3. Electron Transfer Technology, US Patent 59225232
4. Olin Corporation, US Patent US4744221A
5. Advanced Technology Materials, US Patent US5518528 6. Many thanks to Dan McKee and Lee Van Horn for being the first of many early adopters.
7. Proceedings of the Tenth International Conference on Ion Implantation Technology, 1994, pp 523-526.
8. DOT-SP 13220.
9. NFPA 318, Standard for the Protection of Semiconductor Fabrication Facilities 2012 Edition. 10. SAGS in the FAB, SST reference

ATMI is a wholly owned subsidiary of Entegris, Inc. ATMI, Safe Delivery Source, SDS, Plasma Delivery Source and PDS are trademarks of Entegris, Inc. in the U.S., other countries, or both. All other names are trademarks of their respective companies.

By Mike Rosa, Applied Materials

In 2004/2005, shipments of 300mm wafer fab equipment (WFE) began to outpace that of 200mm platforms.  As the “baton” in the node-scaling race appeared to pass from 200mm to 300mm, it was clear that device manufacturers were transitioning to higher-volume, more cost-effective 300mm toolsets for cost efficiencies of the production of advanced memory and microprocessor devices.  Tool suppliers enabled the transition with the availability of the comprehensive 300mm toolset and began a new 300mm technology race, and leaving the major OEMs to focus on service and spares for the now legacy 200mm toolsets.  With advanced device designs fully transitioned to 300mm, many IDMs and foundries were left with growing excess capacity on their 200mm production lines.

Surprisingly, new life and attention has been refocused on the 200mm tool sets and available capacity as two phenomena are driving new requirement and economics.

First, in 2006, a MEMS (Micro-Electro-Mechanical Systems)-based accelerometer became a game changer when introduced into Nintendo’s next-generation Wii motion controller.  This was the first significant and novel use of a MEMS device for motion tracking in a high-volume consumer application.  Next, in 2007, when Apple Inc. first introduced the iPhone to the world, it came to light that MEMS devices were enabling a number of its advanced motion-based features.

Later, it would be noted that more than 75% of the semiconductor device content in the iPhone was sourced from 200mm wafer starts.  The devices manufactured on 200mm wafers spanned a wide variety of applications that included not only MEMS applications (motion, audio, RF, etc.) but also CIS (CMOS Image Sensor), communications, power management and analog devices.

Sold in the hundreds of millions per year, first the iPhone and then the multitude of other smart phones, tablet PCs, and related digital devices, that followed, drove the adoption of the emerging “More-than-Moore” class of devices (which were first pioneered  on 150mm wafers at the time) onto 200mm wafers.  These high-volume consumer applications gave rise to a resurgence in both new and used of 200mm equipment. This sudden requirement for new sourcing of “legacy” 200mm toolsets placed considerable strain on a supply  chain that then focused almost exclusively on 300mm; tool vendors struggled in  refurbishment, upgrade, and production of matching tools and processes that performed outside the requirements of traditional semiconductor applications (see Figure 1).

200mm equipment market gaiting new lease on life

200mm equipment market gaiting new lease on life

Some of these additional requirements — including new and thicker films (>20µm), advanced DRIE (Deep-Reactive-Ion-Etch) capabilities capable of delivering aspect ratios approaching 100:1, and new process capabilities like HFv (Hydrofluoric Acid vapor) release etch and Wafer Bonding — resulted in OEMs needing to restart 200mm tool development.  In some cases, OEMS needed to expand their product portfolios to support the growing needs of customers producing devices in the rapidly expanding “More-than-Moore” device segment.

Fast forward to 2014 —what a difference approximately seven years has made to the industry segment and more specifically the number of opportunities in the 200mm WFE market for the new class of devices.

The surge in mobile device applications and more recently wearable technologies, has meant that device manufacturers are increasingly  under  pressure to produce cheaper, smaller, more capable and more power efficient devices most economically and efficiently — and this remains optimally on legacy 200mm toolsets.  Combining this with the materials and production challenges presented by ultra-high volume applications spelled out in the ‘Trillion Sensor Vision’ and the now looming IoT (Internet-of-Things) (see Figure 2), and it becomes clear that OEMs who continue to support and develop solutions for the 200mm WFE market  have both significant challenges and potential rewards.

Figure 2.  The IoT (Internet-of-Things) by most accounts prescribes device volumes as high as 1 Trillion (per year!) by 2024.  These device volumes are accompanied by severe reductions in ASP.  Maintaining expanded device functionality, a reduced device size and a further reduced cost of fabrication, presents considerable challenge to both device producers and tool OEMs alike.

Figure 2. The IoT (Internet-of-Things) by most accounts prescribes device volumes as high as 1 Trillion (per year!) by 2024. These device volumes are accompanied by severe reductions in ASP. Maintaining expanded device functionality, a reduced device size and a further reduced cost of fabrication, presents considerable challenge to both device producers and tool OEMs alike.

Rising to the challenge presented by the demands of these rapidly growing market segments, Applied Materials is an OEM that has, over the past several years, continued to invest in the R&D of its 200mm portfolio products.  Challenged to deliver new materials and processes (see Figure 3) in support the growing class of 200mm emerging technology applications that have come to include MEMS, CIS, Power Device, Analog, WLP (Wafer Level Packaging), TFB (Thin Film Battery), TSV (through-silicon via), etc., Applied Materials believes that working close to the customer and more collaboratively throughout the supply chain is paramount to success in a technically challenging and price sensitive market. The 200mm ecosystem supporting broadly expanding cost-senstive device classes represent a new fork in the roadmap that has been almost myopically focused on Moore’s Law evolution.

deliver substantially re-engineered 200mm toolsets to produce advanced materials and processes needed to support the next generation of “More-than-Moore” devices. Source: Applied Materials

deliver substantially re-engineered 200mm toolsets to produce advanced materials and processes needed to support the next generation of “More-than-Moore” devices. Source: Applied Materials

Learn more about how this dynamic market is changing at the session on “Secondary Equipment for Mobile & Diversified Applications” at SEMICON West 2014 in San Francisco, Calif on July 8-10.

By Debra Vogler, SEMI

The introduction of new materials, such as III-Vs, into high-volume manufacturing of semiconductors, likely will occur sometime around the 7nm and/or 5nm nodes. III-V’s introduction, along with the potential transition to 450mm wafers, and the increasing expansion of global regulatory requirements, will heighten environmental, health and safety (EHS) concerns that must be addressed as the industry goes forward. The Sustainable Manufacturing Forum to be held in conjunction with SEMICON West 2014, will feature experts in the manufacture of semiconductors, microelectronics, nanoelectronics, photovoltaics, and other high-tech products.

One of the Sustainable Manufacturing Forum speakers, Richard Hill, Technology Infrastructure manager at SEMATECH, will discuss how the addition of III-V materials into the high-volume manufacture of semiconductors will bring sustainability issues to the forefront, primarily driven by the toxicity of arsenic that is used in much greater quantities in III-V production. Challenges include wastewater treatment, toxic gas detection control and abatement, and the need for robust protocols to ensure operator and maintenance personnel safety. Hill will speak at the Next Generation Eco Fab session on July 9 at SEMICON West.

SEMATECH recently completed a joint study of III-V EHS challenges with the College of Nanoscale Science and Engineering (SUNY CNSE). The assessment consisted of running 300mm wafers through a representative 5nm III-V process flow (Figure 1). (Many semiconductor industry experts agree that III-V materials will enter the process flows in high volumes at 5nm.) Among the processes that will pose the greatest challenges with respect to III-V materials are MOCVD, CMP, wet etch/clean, dry etch, and film deposition. The project was heavily focused on understanding the levels of arsenic that would be present in wastewater, as well as loading of other III-V materials. The impact of III-V outgassing that could occur during processing and the amounts of gases that could be released when a tool is opened for maintenance were of particular interest in the project.

Figure 1. Example 5nm III-V flow: key ESH challenges. SOURCE: SEMATECH

Figure 1. Example 5nm III-V flow: key ESH challenges. SOURCE: SEMATECH

Among the high-level challenges associated with wet etch are the potential for arsine and phosphine outgassing (during processing).

“Wet etch tools are designed to have a controlled environment,” said Hill, “but they are not like high-vacuum systems that are designed to contain toxic gases.” Hill told SEMI that if the exhaust system fails during the processing of a wafer, it is critical to know the risks and ensure mitigation. The SEMATECH/CNSE project looked at a range of different chemistries and identified those that are low risk for arsine and phosphine generation (and therefore, a low risk of outgassing) and those that had a high risk of outgassing. The low risk chemistries are, naturally, the ones that the industry should try to design into a III-V flow.

The joint project also evaluated the III-V loading in wastewater from the wet etch process. “There were measurable quantities of arsenic in the waste stream,” said Hill. Though he added that while the levels weren’t significantly high, some treatment of the waste water would have to be done depending on what’s allowable within local discharge limits and permits. With the industry looking ahead to 5nm and already designing the fabs of the future, Hill believes that these results will be important for specifying wastewater treatment.

The joint SEMATECH/CNSE project also evaluated the wastewater stream from the burn wet scrubber when III-V materials are used in a contact etch (dry) process. The study found measurable arsenic in the wastewater. “Fabs of the future will need wet treatment facilities for arsenic and indium,” Hill told SEMI. “In recent years, concerns about indium have been elevated, and we believe that tighter restrictions on it will be introduced in the future.” Chamber clean is also critical when etching (dry) III-V materials. “If you don’t do the right type of cleaning regimen, you could have next-wafer contamination.” Additionally, without the proper protocol, maintenance personnel could be exposed to arsine or phosphine when the chamber is opened, depending on the process. The cleaning protocol is highly dependent on the type of etch being done, and each type could have different requirements.

For Hill, the key takeaway from the joint evaluation was that, while there are risks when processing III-V materials, there are no showstoppers — solutions can be engineered. “People should take these risks seriously, but they shouldn’t be scared off by them,” said Hill.

Sustainability and the Role of Collaboration and Standards

Steve Moffatt, CTO, Front-end Equipment at Applied Materials (also a speaker at the Next Generation Eco Fab session at the Sustainable Manufacturing Forum at SEMICON West), told SEMI that many established procedures for dealing with arsine and phosphine already exist. He views the efforts by the industry going forward as one of accurately quantifying the size and scope of the problem. “The methods are in place, but the absolute quantities of III-Vs will be substantially higher,” said Moffatt.

Additionally, other emissions (e.g., PFCs) that are well regulated and generally understood, will see an increase in the quantities as a result of more layers being processed for 3D chips. Even the potential transition to 450mm wafers will figure into the industry’s need for a more accurate scope of the EHS challenges involved. The increase in wafer size will naturally lead to larger manufacturing equipment noted Moffatt and that, in turn, will drive increases in energy, water, and process chemical consumption at both the tool and fab levels.

As regulatory pressure increases on a global scale, the situation also becomes more complex. Beyond the use of new materials such as III-Vs and nanomaterials, Moffatt commented that new methods of energetics (i.e., ways of putting energy into a processing system) will require very careful and close assessment of the risk control measures. Another sustainability issue arises from the basic fact that, as opposed to the highly prevalent element of silicon in the earth’s crust, many of the newer materials being used in higher quantities for semiconductor manufacturing (e.g.,Ga, As, etc.) are much less abundant. These exotic materials, of necessity, must be handled in the most efficient of ways.

Going forward, there will be increased regulatory pressure to reduce a fab’s carbon footprint and produce more sustainable products. Moffatt says the industry can expect more pressure to reduce greenhouse gas (GHG) emissions along with adhering to conflict minerals regulations and managing EHS concerns throughout the entire life-cycle of a product (Figure 2). “One company can’t do it on its own, it’s a life-cycle consideration,” said Moffatt. “If we have the right collaboration together, we have a greater probability with the right kinds of standards of bringing good, effective green chemistry solutions to high-value problems.”

Figure 2. Consensus building in multi-stakeholder life-cycle risk assessment of manufacturing technology and products. SOURCE: Applied Materials (used with permission of ITRS)

Figure 2. Consensus building in multi-stakeholder life-cycle risk assessment of manufacturing technology and products. SOURCE: Applied Materials (used with permission of ITRS)

Regarding standards activities on energetics, Moffatt pointed to ongoing collaboration and hazard assessment between SEMI, SEMATECH and other industry groups.

“We will need to continually evaluate the need for additional standards activities — both new and updates — in addition to industry collaboration on “Green” chemistry,” said Moffatt.  “As a starting point, sustainability concerns could be built into the initial assessment of new chemicals and processes, which will begin the discussion and raise awareness of these issues.”

Hill (SEMATECH) and Moffatt (Applied Materials) will be joined by speakers from IMEC, Intel, Samsung, Air Products, and MW Group at the “Next Generation Eco Fab” session of the Sustainable Manufacturing Forum at SEMICON West 2014, July 7-10 in San Francisco, Calif.  For more information, visit: http://www.semiconwest.org.

Worldwide shipments of flat-panel televisions rose convincingly in the first quarter of 2014 compared to the same period last year, a stronger-than-expected showing that puts the industry on firm footing for the year, according to a new report from IHS Technology.

The market for liquid-crystal display televisions (LCD TV) was particularly robust, climbing 4 percent from January to March this year to 47.36 million units. And despite a 16 percent decline to 2.00 million units for global plasma display panel televisions (PDP TV)—which are on their way out of the industry permanently—total flat-panel TV shipments in the first quarter remained up by 3.3 percent to 49.36 million units, as shown in the figure below.

Figure 1

Figure 1

“LCD TV shipments had expanded in the first quarter of 2013 because of a decline in the market during the same time in 2012, so in that sense growth last year was almost to be expected,” said Jusy Hong, principal analyst for consumer devices at IHS. “But for the first quarter this year, shipments increased from an already respectable level during the same time in 2013, so what the industry has just experienced represents well-founded growth indeed at this time.”

With the surprisingly robust expansion for the first quarter launching the industry on a solid start for the year, LCD TV shipments for 2014 could grow by 4 percent or even higher, Hong noted.

These findings can be found in the report, “TV Systems Databases: Monthly TV Shipments – May 2014,” from the Consumer Electronics research service at IHS.

South Koreans command the field

Among flat-panel TV manufacturers, the South Koreans performed the best during the first quarter, with the Japanese also holding up well. However, the Chinese struggled.

With aggregate shipments of 17 million units between them, Samsung and LG continued to be the top brands and makers of flat-panel TVs. Overall, the South Koreans maintained year-on-year shipment growth higher than 10 percent for each of the three months in the first quarter—a distinction unmatched by any other group, including American- and European-based manufacturers.

The Koreans’ growth can be attributed to an increased push in LCD TV shipments while they pull out of the plasma business. Samsung, for instance, is scaling back sharply on PDP TV shipments, and it is preparing to relaunch high-definition LCD TV models in the new size range of 40 and 48 inches to replace 43- and 51-inch plasmas. Almost 100 percent of 40-inch-and-larger LCD TVs are full high-definition models.

For their part, the Japanese scored during the period by boosting shipments before the country’s consumption tax was increased from 5 to 8 percent. If the Japanese market now cools because of the tax, Japanese TV makers will likely have to reduce flat-panel shipments to the local market in the second quarter, IHS believes.

In contrast to the strong performance of the Koreans and the Japanese, Chinese TV brands and makers saw shipments shrink every month in the first quarter compared to the same period in 2013.

Ever since the government ended its subsidy for energy-efficient products in May 2013, the Chinese TV market has cooled and has been unable to regain its previous level of vigor and activity. To revive the space, Chinese makers are looking to release a number of new models in the coming months, including ultra-high-definition (UHD), organic light-emitting-diode (OLED) and curved TV sets.

In somewhat of a surprise, the global TV industry is also seeing renewed demand for TV sets coming from the developed markets, which are nearly saturated with flat-panel TVs. The rejuvenated interest could likely be coming from the release of new sets, including UHD models that boast four times the resolution of high-definition 1080p sets.

The TV market as a whole is also recovering in Western Europe and North America as the economy improves in those regions, further boosting flat-panel TV shipments for the developed world.

As smart materials become one of the fastest growing areas of materials technology, SABIC and Cima NanoTech, a Singapore and US-based company, have announced the joint development of a plastics industry first: a transparent conductive polycarbonate film that has the potential to revolutionize the materials used in consumer electronics, household goods, automotive, architecture and healthcare.

Related news: Non-ITO film to make up 34% of transparent conductive film market in 2017

The new material, designed to provide “next generation” functionality, has the potential to further enhance performance, enable new innovative applications and open doors for new product designs, Ernesto Occhiello, SABIC Executive Vice President, Technology and Innovation, explained. This could translate into faster response touch screens for consumer electronics, transparent “no-line” anti-fogging capabilities for automotive windows, better EMI shielding effectiveness for electronics, and transparent WiFi/Bluetooth antennas for mobile devices like smartphones, tablets, laptops and all-in- one computers.

Aligned with SABIC’s focus to provide solutions that will solve industry challenges, SABIC engaged in a joint collaboration with Cima NanoTech in the latter half of 2013 to develop the promising new material, which will be available for customer trials later this year.

“Transparent conductive polycarbonate is a breakthrough material that customers in consumer electronics and other important industries have been seeking,” Matt Gray, Director of Marketing, Consumer Electronics for SABIC’s Innovative Plastics business, said. “Our work with Cima NanoTech is strategically aligned with our commitment to continuous innovation in areas of importance to our customers,” Gray noted.

The collaboration, leveraging both Cima NanoTech’s proprietary SANTE nanoparticle technology and SABIC’s LEXANTM film, a polycarbonate material, has resulted in the development of a new series of transparent conductive materials that are lightweight with excellent transparency, outstanding conductivity and high flexibility. Cima NanoTech worked with SABIC’s scientists to jointly develop materials that not only meet the requirements of existing industries, but also stretches the possibilities for exciting opportunities by breaking boundaries faced with current materials.

“We are very pleased to be working with SABIC to bring the key advantages of SANTE nanoparticle technology forward into a number of diverse consumer and industrial markets,” Jon Brodd, Chief Executive Officer, Cima NanoTech, stated. SANTE technology, a patented self-assembling nanoparticle technology platform, stands alone in providing high transparency with ultra-low electrical resistance, which is ten times better than the incumbent indium tin oxide (ITO). “In addition to its ability to meet optical grade specifications for display and touch applications, SANTE nanoparticle technology is also more cost effective as coating is performed via a wet coating, roll-to-roll process versus sheet-to-sheet,” Brodd said.

The conductive SANTE network is also mechanically robust, thus allowing it to withstand flexing, stretching, torsion and tension for flexible applications. The substrate can also be thermoformed into various curved and 3D form factors.