Category Archives: Displays

The release today of the SEMI World Fab Forecast update reveals a 20 to 30 percent projected increase in semiconductor fab equipment spending in 2014. The uptick to 30 percent depends on specific fab projects in the Europe/Mideast and Asia regions, as detailed in the report. Figure 1 shows Total Fab Equipment Spending versus Installed Capacity without Discretes. For 2014, the report identified over 190 fab projects in 2014 spending on construction and/or equipment and over such 250 projects in 2015 (including Discretes, LED, Analog and Logic fabs).

fab graph

According to the SEMI data, double-digit fab equipment spending growth will occur in almost all industry segments.  The segment showing the largest increase is expected to be MPU, followed by Memory.  Analog, Logic and MEMS will share third place with about 30 percent growth each — off of a small spending base in 2013.  The Foundry segment spending is expected to grow by 15 percent.

The SEMI World Fab Forecast report shows an increase in DRAM related projects equipping, thus an increase in DRAM related equipment spending from about 7 percent growth in 2013 to 30 percent in 2014. Overall DRAM installed capacity is expected to remain flat (0 percent) in 2014, following a contraction in 2013.

Equipment spending is also expected to stabilize for both the Opto and the LED fab segments, from -16 percent spending declines in 2013 to -1 percent in 2014.  Equipment spending in the LED segment will decline -9 percent in 2014 following the -21 percent decline in 2013.  Construction spending for all Opto/LED facilities will increase by over 60 percent in 2014.  These investments will increase installed capacity for LED by 12 percent in 2014 and about 14 percent in 2015.

Using a bottom up approach, SEMI closely monitors the installed capacity of more 1,100 facilities.  Across the entire industry, installed capacity (without Discretes) grew by only 2 percent in 2013; this is expected to creep up to 3 percent growth in 2014 and in the 3-5 percent range in 2015.

The SEMI World Fab Forecast tracks over 190 fab projects in 2014 that are spending for construction projects and equipping facilities and over 250 such projects in 2015, including Discretes, LED, Analog and Logic fabs.  The report details that in 2013 seven new fabs and four R&D/Pilots facilities began construction. In 2014, six new fabs and one R&D fab are forecasted (with various probabilities) to begin construction.  Robust growth presents itself differently across segments of the industry; learn more about SEMI fab databases at: www.semi.org/MarketInfo/FabDatabase

The SEMI World Fab Forecast lists over 1,160 facilities.  There are 56 future facilities with various probabilities which have started or will start volume production in 2014 or later.  The report lists major investments (construction projects and equipping) in 196 facilities and lines in 2014, and a large number in 2015. Since the last fab database publication at the end November 2013, the SEMI has made 282 updates to 253 facilities (including over 250 Opto/LED fabs) in the database. There were 17 facilities added and 10 facilities closed.

The SEMI World Fab Forecast uses a bottom-up approach methodology, providing high-level summaries and graphs, and in-depth analyses of capital expenditures, capacities, technology and products by fab. Additionally, the database provides forecasts for the next 18 months by quarter. These tools are invaluable for understanding how the semiconductor manufacturing will look in 2013 and 2014, and learning more about capex for construction projects, fab equipping, technology levels, and products.

A study, published today in Nature’s Scientific Reports identifies a new technology which could see flexible electronics such as roll-up tablet computers, widely available in the near future. So far, this area of electronic design has been hampered by unreliability and complexity of production.

Researchers from the University of Surrey worked together with scientists from Philips to further develop the ‘Source-Gated-Transistor’ (SGT) – a simple circuit component invented jointly by the teams.

Previously, they found that the component could be applied to many electronic designs of an analog nature, such as display screens. Through this current study, researchers have now shown that SGTs can also be applied to next-generation digital circuits.

SGTs control the electric current as it enters a semiconductor, which decreases the odds of circuit malfunction, improves energy efficiency and keeps fabrication costs to a minimum. These properties make SGTs ideal for next-generation electronic devices, and could enable digital technologies to be incorporated into those built using flexible plastics or clothing textiles.

Such technologies may include ultra-lightweight and flexible gadgets which can be rolled up to save space when not in use, smart plasters, thinner than a human hair, that can wirelessly monitor the health of the wearer, low-cost electronic shopping tags for instant checkout, and disaster prediction sensors, used on buildings in regions that are at high risk of natural disasters.

“These technologies involve thin plastic sheets of , similar to sheets of paper, but embedded with smart technologies. Until now, such technologies could only be produced reliably in small quantities, and that confined them to the research lab. However, with SGTs we have shown we can achieve characteristics needed to make these technologies viable, without increasing the complexity or cost of the design,” said lead researcher Dr. Radu Sporea, Advanced Technology Institute (ATI), University of Surrey.

Professor Ravi Silva, Director of the ATI and a co-author of the work, said, “This work is a classic example of academia working closely with industry for over two decades to perfect a concept which has wide-reaching applications across a variety of technologies. Whilst SGTs can be applied to mainstream materials such as silicon, used widely in the production of current consumer devices, it is the potential to apply them to new materials such graphene that makes this research so crucial.”

“By making these incredible devices less complex and implicitly very affordable, we could see the next generation of gadgets become mainstream much quicker than we thought,” Dr Sporea concluded.

Dow Corning today introduced new Dow Corning EA-2000 Silicone Adhesive, a high-performance terminal sealant for faster, more cost-effective manufacturing of thin-film transistor (TFT) LCD displays. This low-viscosity, room-temperature vulcanizing (RTV) silicone sealant combines high flowability and good adhesion with a fast, non-corrosive cure to facilitate higher throughputs and thinner, more reliable display architectures. Like other silicone materials, Dow CorningEA-2000 Silicone Adhesive provides long-lasting durability compared with organic materials.

“As the accelerating global demand for tablets, smart phones and other consumer electronics continues to drive the growth of LCD displays, panel manufacturers need advanced new materials to help speed production, control costs and improve the reliability of their devices,” said Hu Nan, global  marketing segment leader for Displays at Dow Corning.

EA-2000 Adhesive’s low viscosity allows fast and simple automated or manual dispensing with conventional equipment, minimizing conversion costs. This advanced new terminal sealant also cures quickly without the need for ultraviolet lamps to further streamline processing operations.

Unlike many organic sealants, EA-2000 Adhesive is solventless, and ensures a durable seal against moisture, dust and mechanical shock. Its non-corrosive cure reduces risk to sensitive metal components, and the sealant delivers unprimed adhesion to many materials – including reactive metals, ceramics and glass, as well as selected laminates, resins and plastics. In addition, Dow Corning EA-2000 Adhesive delivers excellent dielectric properties for optimal TFT LCD display performance, as well as good thermal stability in most conditions.

By SEMI contributors

The closing Executive Panel discussion at the SEMI Industry Strategy Symposium on January 15 provoked diverse views on the drivers and future of innovation in the microelectronics manufacturing supply chain.  While technology demand and manufacturing efficiency provide the motivation for continued innovation in the minds of some, others believe the supply chain is forfeiting its value proposition and places too much emphasis on cost reduction.

ISS-exec-panel-photo1In a wide-ranging discussion moderated by VLSI Research chairman Dan Hutcheson, the arguments and examples of these perspectives spanned the topics of new device architectures, lithography, and the 450mm wafer transition.

John Chen, Ph.D., vice president of technology and foundry operations at Nvidia Corporation, said that affordability is key due to price sensitivities in the consumer market place.  People want electronic gadgets with great features and a good interface between them; however, the vast majority of users are young individual users and price is very important.

Chen said that if the industry truly collaborates together early-on, it can have early engagement in concurrent engineering and eliminate waste and redundancy. This reduces total cost and increases profitability for all.

Chen said that he doesn’t believe in “squeezing the vendors”; however, there is still waste in the supply loop.  Chen prefers think in terms of a supply “loop,” in which the participants have to work together rather than a supply “chain,” which connotes a more one dimensional linear relationship.

Chen said, “It’s difficult to “out-smart” others in the supply loop because all the participants have great capabilities and the only solution is to increase the pie and share the rewards.”

He asserts that this kind of coordination is essential given greater complexity from challenging technology requirements and an increasing rate of change. As an example, he speculated that the industry faces three significant discontinuities as it adopts manufacturing technology for 20nm semiconductor devices.

First, is a good discontinuity — the introduction of 3D transistors or finfets. These new device structures reduce power requirements and greatly enable consumer products with longer battery life by providing better control of the gate and reducing leakage current. Chen said that without these kinds of design innovations and the accompanying manufacturing process technology, we cannot have a quantum jump in performance.

The second discontinuity accompanies the end of 193 lithography — the point at which multiple patterning is required. At 20nm, the number of masking steps has increased 15-20 percent. Chen characterizes multiple patterning, “as a brute dumb force.” It causes wafer costs to increase and yields to suffer. Both of these results contribute to a negative discontinuity in die cost.

Read more: Is the chip industry as important as we think? Depends on whom you ask

The third discontinuity is the wafer size. Chen argues that we are already in need of 450mm wafers.  He noted that every time the industry has migrated to a larger size wafer, additional innovation comes with the transition. Accordingly, he expects additional innovation to accompany 450mm technology development.

Mike Splinter, executive chairman of Applied Materials, offered an optimistic perspective on the semiconductor demand to be created by “the internet of things” and pervasive computing — labels for the massively interconnected sensing and computing capabilities, which he expects to help address complex business, healthcare and education issues that face society.

The sub-trends influencing pervasive computing are mobility and analysis of huge amounts of information from personal devices that will be available anywhere and anytime producing a gigantic amount of data.  Because of this rapid expansion, he believes that we are underestimating the need for bandwidth and memory. He contrasted the adoption rate of other products as a way to make the point that we face unprecedented demand acceleration.  He said that television took 40 years to acquire 50 million users; Facebook took a couple years; and now an app can have 50 million users in a few weeks.  Because of this data centers will grow at an increasing rate and we will need greater performance.  Outside the data center we need lower power and cost reductions.

The highest value technologies will increase performance, reduce power, and lower cost; and that is how he believes the industry should measure what we do and prioritize R&D resources.

Read more: New methods to reduce time and cost of R&D

Splinter was confident that the industry would continue to drive smaller dimensions down to 5nm. Splinter said the lithography is now essentially a “cost play.” Scaling is no longer the enabling play, it’s a cost play because there are alternatives such as precision material engineering.

Splinter said, “We haven’t seen this kind of demand for innovation since the 1970’s when the industry saw the emergence of non-volatile memory, DRAM and the shift away from aluminum and to silicon for logic gates.  That’s the environment we are in today.  There have been tremendous advancements in flash memory, but we need a new DRAM as well as 3D technology in logic devices.”

Equipment companies have become very efficient through productivity improvements, engineering, consolidation and offshoring.  He believes the industry is reaching the limits of how much more efficiency can be attained without significant R&D trade-offs. Investments in innovation should be evaluated on the criteria of power, performance and cost.

Regarding 450mm, Splinter said that the technology changes under consideration for the large wafer size can much more easily be achieved at 300mm if the industry concentrates its R&D dollars there.  Furthermore, he is concerned about the posture of memory makers because most of the wafers processed are for memory products and if memory makers don’t participate in 450mm we won’t see the volumes necessary to support the larger wafer area.

“Where there is a demand for innovation, innovation will happen.  I am excited about next 10-15 years. The only limitation we may have is assuring that we have the young people coming into the industry.”

In response to a question by panel moderator Dan Hutcheson, Mike Splinter rebutted an assertion earlier in the conference that consolidation and large mergers were creating mega-suppliers that are too big to fail, but also too big to innovate. Splinter expressed enormous optimism about the prospects of sparking innovation when the engineers from TEL and Applied Materials are allowed to get together and share diverse but complimentary capabilities.  He pointed to beneficial collaboration that occurred when Applied Materials acquired Varian and believes that, when combined with TEL, the new organization will be able to leverage real collaboration and focus more R&D dollars on innovative technology.

According to Kazuo Ushida, executive vice president and president of Precision Equipment Company for Nikon, lithography has long supported Moore’s Law in lowering the cost per transistor. However, it is reaching the limits of what can be achieved with wavelength reduction and numerical aperture enlargement.  EUVL has numerous and costly challenges, and therefore Nikon believes that it is necessary to migrate to a larger wafer size.  During the transition from 200-300mm, there was a quadrupling in in the optical lithographic performance improvement.

Ushida said that Nikon is willing to take a long view on the return on investment to support customers.  He compared the situation to Boeing’s investment in developing the 787 which will have approximately 20 year payback.

He said that throughput of 150 wafers per hour will be needed to be competitive with 300mm and that industry synchronization is essential to lower the time to recouping the cost of development.

Terry Brewer, Ph.D., president and founder of Brewer Science, bemoaned the persistent emphasis on cost reduction that is pervasive in industry dialog.  He fears the industry is drifting away from true innovation as a driver of technology. Picking up on an earlier topic about the industry’s need to recruit future talent, Brewer said that it will be hard for young technologists to be excited about manufacturing innovation because there is too much focus on cost.

Brewer cautioned that the manufacturing supply chain will decline in value if it positions costs reduction as the primary benefit of innovation. Brewer said, “At one time, Moore’s law was very valuable because chips were the main value proposition in electronics.  Sadly, it is not today.”  He suggested that semiconductor manufacturing is being supplanted as the “mainstream” value creator by companies like Apple and Google.

Brewer contrasted the industry mindset to that of Apple’s saying that, “Steve Jobs came out with an $800 phone when everyone else was trying to reduce cost.  Apple won because it had a better value proposition.”

Brewer suggested that the industry roadmap for the 450mm transition, EUV lithography will slow or be pushed out because of costs.  In contrast, the last two nodes were driven primarily out of chemical engineering and materials innovations.

The panel concluded with a consensus that innovation and collaboration are tightly related activities and that value-driven innovation is required to sustain the industry in a consolidating supply chain environment.

SEMI ISS-Europe is February 23-25. For information on all SEMI events, visit: www.semi.org/en/Events.

The Centre for Process Innovation (CPI) has developed novel backplane fabrication processes to allow the bending of Organic Thin Film Transistors (OTFT) arrays to small radii (1 mm) without a significant reduction in device performance. The work undertaken demonstrates progress towards optimum Organic Semiconductor (OSC)/OTFT processing and performance to enable their integration into ultra-flexible active matrix organic light emitting diode AMOLED backplanes. High performance OSC materials with charge mobility suitable for OLED driving were used in the tests on 50 micron thick PEN film. A demonstration video of the bend testing of the array can be viewed here.

In order to achieve the tight bend radius, the multiple interfaces present in the device stack were optimised to allow good adhesion under the strains experienced in the bending test.  Using patterned OSC layers and additional passivation layer processing, display pixel size OTFTs were fabricated and these were repeatedly bent (up to 10,000 times) to a radius of 1 mm, which equates to a strain of 2.5%.  Minimal change in the turn on voltage and on current were observed for the elongated cycle test.

This demonstration of bend resistance in high performance OTFT devices is part of ongoing work to integrate these materials into active matrix backplanes for AMOLED. It is expected that within 2014 the first plastic based display demonstrators will be completed using OTFT in project ROBOLED, which has received funding through the Technology Strategy Board.

CPI is the UK’s National Centre for Printable Electronics. Focused on the development, scale-up and commercialisation of printable electronics applications, CPI is equipped with an extensive range of assets specifically chosen and developed to allow clients to understand how their products and processes perform under pilot manufacturing conditions.

bending backplane

Despite a few false starts, television brands remain committed to the success of active matrix organic light emitting diode (AMOLED) sets, with the new impetus evident at CES expected to cause shipments to rise to more than 10 million units in 2018, according to IHS Technology.

Global unit shipments of AMOLED television panels are expected to amount to about 50,000 this year, as the sets remain very expensive and only one manufacturer—LG Displays—is expected to ship large volumes of panels in 2014. However, several brands demonstrated a range of compelling AMOLED sets at CES. As the AMOLED display suppliers resolve their manufacturing challenges, and the brands enter higher-volume production, AMOLED TV panel shipments will rise to 700,000 in 2015, to 2.2 million in 2016 and to 5.1 million in 2017.

“AMOLED televisions were on center stage at CES, led by LG Electronics, Samsung and Panasonic showing a variety of new sets, including models with a range of screen sizes, ultra high definition (UHD) resolution and curved and even bendable displays,” said Vinita Jakhanwal, director of mobile and emerging displays and technology at IHS. “The high profile of the products and large number of sets at CES indicates that television brands remain committed to promoting AMOLED technology. Although previous AMOLED TV initiatives have been stymied by production issues, these are expected to be overcome and sets are expected to enter high-volume production in the coming years.”

LG Electronics at CES in 2013 launched the world’s first 55-inch Curved Full high definition (HD) AMOLED TV. This year at CES, the company made another first, with a 77-inch bendable UHD AMOLED TV. Users can adjust the bending radius of 5,000mm with a remote controller. LG Electronics said it has conducted tens of thousands of tests for verifying safety.

LG Electronics is using a WOLED, or white-OLED, panel based on oxide TFT technology from LG Display. The company currently is running 8,000 sheets per month on its eight-generation WOLED fab. LG plans to expand production to 26,000 sheets per month.

Samsung Electronics at CES showed 55-inch curved Full HD OLED TV with an adjustable radius via the remote control. The company is using an RGB separated AMOLED panel based on low-temperature polysilicon (LTPS) TFT from Samsung Display, which is running 10,000 sheets per month at an eight-generation fab.

However, Samsung Electronics did not show 60- or 70-inch AMOLED televisions. This indicates Samsung maybe experiencing difficulties in developing larger sized RGB separated AMOLED panel based on LTPS TFT. On the other hand, Samsung announced an 85-inch bendable UHD LCD TV, which can be adjusted the bending radius of 4,200mm with a remote controller. This indicates that bendable TV technology is not restricted to the OLED segment.

Panasonic announced five versions of its 55-inch curved UHD OLED TV, and it exhibited them each with different bending points and radiuses. Panasonic has developed its AMOLED TV panel with Oxide TFT with Sony and AUO. However, Panasonic this year announced that it stopped cooperating with Sony, and will develop AMOLED TV panels by itself.

A few Chinese TV makers—including TCL, Hisense and Changhong—showed their own 55-inch AMOLED TVs at CES, and that they announced that they will begin selling these sets soon.

These companies source their AMOLED TV panels from LG Display. However, they did not show curved or UHD OLED TVs like LG, Samsung and Panasonic.

LG announced it will launch 55- , 65-, and 77-inch Curved UHD OLED TV in 2014. The company also said it would strengthen its dominance in the AMOLED TV market by expanding its high-end distribution channel and local VVIP marketing activity. Thus, AMOLED TV panel market would split into two distinct segments in 2014: a high-end portion with curved and UHD OLED panels and a more mass market with flat and full HD AMOLED panels.

Samsung Display, which has entered the AMOLED TV panel market enthusiastically, has faced difficulty with its AMOLED TV panel development. Sony and Panasonic also, which also announced AMOLED TVs in 2013, have not clearly stated when they will launch their own AMOLED TVs. So, LG Display likely will dominate the AMOLED TV panel market in 2014.

Compiled by Shannon Davis, Web Editor

main page

This week in Las Vegas, the 2014 International Consumer Electronics Show focused on the Internet of Things, displaying many connected gadgets and services. This year’s show featured more than 3,200 exhibitors, many of which were excited to show off new Internet-enable devices.

Click through our slideshow of highlights for an overview of the show.

CLICK HERE TO LAUNCH SLIDESHOW

Cypress Semiconductor Corp. today announced the US Patent Office has issued Cypress its one hundredth patent related to its TrueTouch capacitive touchscreen controllers. The patent, numbered 8,610,443, relates to attenuator circuits that contribute to the TrueTouch Gen5 family’s unmatched immunity to electrical noise from chargers and displays, which can render touchscreens for smartphones, superphones, tablets, e-readers and other portable devices unusable. Cypress is continuing to expand its intellectual property (IP) portfolio at the industry’s highest rate with more than 200 patents pending, protecting the competitive advantage of its TrueTouch offerings and their differentiating features.

In addition to patents related to noise immunity, Cypress’s patented DualSense technology allows TrueTouch solutions to execute both self-capacitance and mutual-capacitance measurements in the same device. This enables the Gen5 family to offer the industry’s best waterproofing for seamless performance in real-world conditions, including the presence of rain, condensation or sweat. Cypress also holds patents related to panel construction, mutual capacitance sensing, scanning methods, setting baselines, and tracking and identification of fingers, styluses and other objects.

Cypress is demonstrating its TrueTouch portfolio in customer meetings at the Consumer Electronics Show in Las Vegas, Nevada from January 7-10, 2014.

“Earning our one hundredth capacitive touch related patent demonstrates both the strength of our touchscreen IP portfolio and the true technological innovation behind the unique features and leading performance of our TrueTouch solutions,” said John Carey, Senior Director of TrueTouch Marketing at Cypress. “With more than 200 more patents currently pending, Cypress is committed to continuing development of differentiating features that enable our customers to create the market’s next-generation products.”

The TrueTouch Gen5 family’s ChargerArmor feature delivers unprecedented 40 volt peak-to-peak (Vpp) charger noise immunity measured from 1 to 500 kHz with an ultra-thin 0.5-mm cover lens and a finger-size up to 22 mm—the most stringent specifications used to measure any touchscreen controller. No competing controllers deliver noise immunity over 10 Vpp under these conditions. And with a more common finger size of 9 mm, Gen5 controllers deliver 60 Vpp. Another patented element of TrueTouch’s noise resistance is its unique ability to deliver on-chip 10V Tx and Tx-Boost, a multi-phase Tx solution to increase signal strength as needed, providing an unmatched signal-to-noise ratio.

Gen5 controllers drive the touchscreen at 10V and at high frequency with narrow-band, single-pass scanning and advanced hardware DSP filtering. By combining this powerful architecture with an industry leading 32-bit ARM Cortex M-Core processor that is known for high-efficiency MIPS/mW, the family boasts 120-Hz refresh rates, and averages low power consumption of 12 mW in active mode and 15 uW in deep-sleep mode. The family’s unmatched noise immunity enables designers of next-generation handsets to implement ultra-thin, display-integrated stackups, including In-Cell, On-Cell, and direct lamination onto a noisy display. The result will be thinner, sleeker end-products with a flawless user experience.

Samsung Electronics Co., Ltd. announced today that it has developed the industry’s first eight gigabit (Gb), low power double data rate 4 (LPDDR4), mobile DRAM.

“This next-generation LPDDR4 DRAM will contribute significantly to faster growth of the global mobile DRAM market, which will soon comprise the largest share of the entire DRAM market,” said Young-Hyun Jun, executive vice president, memory sales & marketing, Samsung Electronics. “We will continue introducing the most advanced mobile DRAM one step ahead of the rest of the industry so that global OEMs can launch innovative mobile devices with exceptional user convenience in the timeliest manner.”

Samsung’s new high-speed 8Gb LPDDR4 mobile DRAM will provide the highest level of density, performance and energy efficiency for mobile memory applications, enabling faster, more responsive applications, more advanced features, and higher resolution displays while maximizing battery life.

The 8Gb LPDDR4 is fabricated on 20-nanometer (nm) class* process technology, and offers 1 gigabyte (GB) on a single die, which is the largest density available for DRAM components today. With four of the 8Gb chips, a single 4GB LPDDR4 package can provide the highest level of performance available today.

In addition, Samsung’s new 8Gb LPDDR4 uses a Low Voltage Swing Terminated Logic (LVSTL) I/O interface, which was originally proposed by Samsung to JEDEC and has become a standard specification for LPDDR4 DRAM. Based on this new interface, the LPDDR4 chip will enable a data transfer rate per pin of 3,200 megabits per second (Mbps), which is twice that of the 20nm-class LPDDR3 DRAM now in mass production. Overall, the new LPDDR4 interface will provide 50 percent higher performance than the fastest LPDDR3 or DDR3 memory. Also, it consumes approximately 40 percent less energy at 1.1 volts.

With the new chip, Samsung will focus on the premium mobile market including large screen UHD smartphones, tablets and ultra-slim notebooks that offer four times the resolution of full-HD imaging, and also on high-performance network systems.

Samsung started offering the thinnest and smallest 3GB LPDDR3 (6Gb) package solutions in November and will provide its new 8Gb LPDDR4 DRAM in 2014. The 8Gb mobile DRAM chip will rapidly expand the market for high-density DRAM in next-generation mobile devices.

With a flood of new competitors set to initiate or increase the production of active-matrix organic light-emitting diode (AMOLED) panels next year, demand for materials used to make AMOLEDs is forecast  to rise by nearly 27 percent in 2014.

The global market for AMOLED light-emitting materials will expand to $445 million in 2014, up from $350 million this year, according to the new report entitled “Display Materials and Components Report – AMOLED Light-Emitting Material – 2014” from IHS Inc. While growth next year will moderate compared to the 49 percent rise in 2013, the market will swell by about $100 million in 2014, as presented in the figure below.

Screen Shot 2013-12-23 at 1.05.15 PM

“South Korea’s Samsung Display Co. Ltd. has successfully pioneered the AMOLED business during the last five years, attaining major success in the market for smartphone panels ranging in size from 3-inches to 5-inches,” said Doo Kim, principal analyst, display component and materials research. “Now other panel manufacturers are seeking to cash in on the expanding demand for AMOLEDs in smartphone, televisions and other products. This phenomenon will spur the continued increase in sales of materials used to create AMOLEDs in 2014.”

The organic light-emitting materials utilized in AMOLED panels can be largely divided into two categories: common functional layer materials and color-emitting materials.

The common layer materials include hole transport layer (HTL), hole injection layer (HIL), electron transport layer (ETL), electron injection layer (EIL), capping layer (CPL), charged generation layer (CGL), electron blocking layer (EBL), efficiency enhanced layer (EEL) and RGB prime layer materials.

Of these, the EBL and EEL materials are hardly used at this time, while the CGL material is used only in white organic light-emitting diode (WOLED) panels.

Materials such as PIN dopant are also adopted to improve efficiency.

The color-emitting materials are red, green and blue host and dopant materials. Yellow-green materials are used in WOLED.

Samsung Display started the AMOLED market when it commenced large-scale mass production in 2008. Since then, it has led the AMOLED market’s expansion.

In 2013, LG Display Co. Ltd. of South Korea launched a WOLED TV panel and a flexible AMOLED panel. Meanwhile, AU Optronics Corp. (AUO) of Taiwan introduced samples of small- to medium-sized AMOLED panels.

Next year, LG, AUO and Japan Display Inc. (JDI) are poised to commence or increase AMOLED panel production. As a result, light-emitting material makers are expected to compete in a more diverse market environment with increased demand and a broader base of customers. This will represent a marked change from the last five years, when they depended wholly on demand from Samsung Display.