Category Archives: Displays

SEMI, the global trade organization representing the nano- and micro-electronic manufacturing supply chains, today announced that next year’s Plastic Electronics Conference (PE2014) will be held in Grenoble, France and alternate with Dresden, Germany in future years to better address pan-European opportunities and challenges in Plastic Electronics. Co-located with SEMICON Europa, one of the largest exhibitions in Europe, PE2014 is the ideal forum to meet technology leaders and professionals from industry, academia, and research organizations focused on developing the next-generation of plastic and organic electronics. This rotation strategy for both SEMICON Europa (www.semiconeuropa.org) and PE2014 (www.plastic-electronics.org) supports the European Union’s 10/100/20 initiative, in addition to providing increased business opportunities for both exhibitors and visitors.

The Plastic Electronics 2014 will be held at Alpexpo in Grenoble, France on 7-9 October 2014, returning to Dresden in October 2015. In addition to the keynote presentations, the conference has critical content to offer for engineers, material experts, manufacturing professionals and industry strategists. The conference will have a specific focus on heterogeneous integration of flexible and traditional electronics for innovative form factors products and applications.

This new focus on and selection of key application areas where in-roads of large area electronics is expected such as in medical, lighting, energy, automotive, fast moving consumer goods. The PE2014 conference covers all segments of the Printed Electronics industry currently driving development in this influential technology. For more information on PE2014, visit www.plastic-electronics.org.

Dr. Dominik Gronarz, CEO of OES (Organic Electronics Saxony), Europe’s leading organic-cluster, states, “Organic Electronics Saxony welcomes the alternating change between Dresden and Grenoble. Especially for the external and international visitors, this biannual rotation will make the Plastic Electronics even more attractive. Visitors have a growing interest in visiting companies and institutes on site, and the mutual relocation now offers this new and exciting opportunity. Thanks to the alternating location, our members are not only offered a further opportunity to present themselves to a new professional audience in Grenoble but also to establish new business contacts, which might differ from those made exclusively in Dresden as the sole location. This new cooperation with Grenoble allows an increased involvement of our French COLAE partners CEA-Liten in Grenoble and Plastipolis in Lyon in Plastic Electronics.”

Mrs. Isabelle Chartier, Printed Electronic program director from CEA-Liten states, “We are proud to host the next Plastic Electronics Conference fully integrated inside SEMICON Europa, here in Grenoble. The French Flexible Electronic community (from materials, equipment, institutes up to final integrators) is very active in this field and aims soon to start industrial manufacturing. In Grenoble, CEA-Liten is running PICTIC — the French Printed Electronic open technology platform — dedicated to printed processes development and products prototyping with industrials partners. CEA-Liten/PICTIC has a track record in terms of printed technology like: high performance printed OTFT: organic CMOS for analog and digital circuits (first printed ADC converter), PMOS backplanes, and printed sensors: Organic Photodiodes with the start-up ISORG and piezo pressure sensor in collaboration with ARKEMA/Piezotech.”

The Plastic Electronics 2014 is hosted by the SEMI Plastic Electronics Special Interest Group (PE-SIG), which is managed by representatives of leading industry companies, research centers and institutes. The PE-SIG of SEMI focuses its activities on Networking, roadmaps, standardization, research and statistics, conferences, exhibitions and public policy worldwide.

The Plastics Electronics Conference (www.plastic-electronics.org) showcases Europe’s most innovative companies, institutions and people.  It is an integral part of SEMICON Europa exhibition (www.semiconeuropa.org), the leading event dedicated to the future of micro- and nanoelectronics design and manufacturing in Europe. SEMICON Europa and Plastic Electronics will be held on 7-9 October 2014.

The display industry has developed towards in realizing large-screen and high quality image so far. But in the future, the development directions would go toward the reasonably priced flexible displays. Flexible displays are lighter, thinner, and unbreakable, compared to the existing glass substrate using displays. With such traits, flexible displays are expected to replace the existing market that the conventional displays could not enter due to the limits in application, and to enter new markets.

IHS Electronics & Media (E&M) recently published an Emerging Displays Report – Flexible Displays Technology – 2013, which contains the flexible display technology development trends and R&A conducted by related companies. It also provides the outlook for the flexible display market through 2023.

144730

According to the report, the flexible display market will grow to $1.3 billion in 2016, and then continuously make a rapid growth to $67.7 billion by 2023, accounting for about 20% of the total flat display market. Shipments of flexible displays will amount to 24 million units in 2016, and the figure is expected to expand to 1.8 billion by 2023, making up about 25% of the total flat display market.

The flexible display market will not only replace the existing display market, but also create markets for new kinds of display applications, driving the growth of the display market. The report forecasts that the substitute market will amount to $900 million in 2016 and hike to $32.8 billion by 2023, while the new market will total $400 million in 2016 to $34.9 billion by 2023.

Who would win the transparent conductive film market?

This year is a significant year for the touch panel market as adoption of non-ITO films made of silver nanowire, copper mesh, silver mesh, silver halide, and silver nano particle has been examined and implemented in full scale. So far, touch panels were mostly employed for applications with relatively small screens, such as smartphone, tablet PC, notebook PC, ATM, and car navigation system. But recently, touch user interface (UI) began to be applied to devices with larger screens, such as all-in-one (AIO) PC, electronic board, and large notebook PC. As the screen gets bigger, data needs to be processed rises, and as a result, the resistance of transparent electrode has become very important. Reflecting such trends, non-ITO film is forecast to make up 34 percent of the total transparent conductive film market in 2017.

For now, indium tin oxide (ITO) is the most commonly used material for transparent conductive film. When using ITO, glass-based ITO has resistance of about 50-60 ohm, while film-based ITO has about 100 ohm resistance given its mass productivity.

A touch panel up to the size of 20-30 inches can be realized by using ITO glass, which has lower resistance than ITO film. But it also has many weaknesses, such as less room to adjust weight, thickness, and designs. It is also fragile and less productive as it uses sheet unit process. Therefore, many attempts have been made to replace ITO glass. In particular, non-ITO films that can replace ITO films have already been applied to devices with large screens that ITO film, which has relatively higher resistance, cannot be used. (In fact, it will be more correct to see this as a market that substitutes the heavy, inconvenient ITO glass rather than the ITO film.) In addition, indium is a rare metal that is expensive and limited in supply, so this can be a move to replace the material.

At the same time, touch panel price is falling rapidly and expectation for optical properties is increasing. Touch panel makers are seeking to come up with ideas to have better specifications and lower production costs. In this context, many changes are being made in capacitive touch structure. Previously, GG (cover glass + ITO glass sensor) type, mostly used for the Apple products, or GFF (cover glass + two ITO film sensors) type accounted for most of the market. But now companies are using structures, which can improve production efficiency, lower production costs, have better optical properties, or realize lighter and thinner panels. In short, the representative structures, which can reduce the number of ITO sensor layers, trim processes while cutting costs and thickness, are GG >>> G1/G2, GFF >>> GF2, GF1, G1F, in-cell, and on-cell.

With these changes in the market, demand for ITO film, by area, is likely to gradually slow down due to a rise in demand for non-ITO films and changes in layer structures. Also, ITO film price is expected to fall rapidly as China and some other countries are investing heavily in ITO film production lines.

Displaybank’s new report analyzes and forecasts the overall transparent conductive film market, including ITO film and non-ITO film as well as today’s issues in the touch panel market. It also provides clues on how to deal with the changes in the market going forward.

CHRISTIAN GREGOR DIESELDORFF, SEMI Industry Research & Statistics Group, San Jose, CA.

Some unexpected underdogs spur spending spree

Next year could be a golden year for the industry. While GDP in 2013 is generally about the same as in 2012, it is expected to rise in 2014, to 3.8 percent from 3.1 percent. Semiconductor revenue has improved in 2013 compared to 2012 and early forecasts for 2014 project revenue growth averaging about 8 percent. Semiconductor companies have adjusted their capital expenditure accordingly, and the SEMI World Fab Forecast data now indicates fab equipment spending for 2014 will reach historic highs.

The SEMI World Fab Forecast report tracks over 200 projects, with details revealing that fab equipment spending declines by 1 percent in 2013, but will increase 25 percent in 2014, including new, used and in-house equipment.

Overall fab spending in the first half of 2013 was slower, especially for fab equipment spending. Excluding a large purchase by GLOBALFOUNDRIES for used 300mm equipment from Promos (NT$20 to NT$30 billion) the decline in 2013 would have been -3.4 percent instead of -1 percent. Fab equipment spending is expected to be stronger in the second half of 2013, with a 30 to 40 percent increase over the first half, though the year will end with an overall equipment spending decrease of -1 percent.

SEMI’s data show a different outlook for fab construction projects, forecasting a 25 percent increase in 2013 and then a drop of 16 percent in 2014. Fabs being built this year will begin equipping next year which affects fab equipment spending.

Semiconductor device revenues did not grow in 2012 (dropped by about 2.7 percent), thus many companies slowed down capacity additions last year. With some improvement in the market, the SEMI data indicate that more capacity will be added in the 2nd half of 2013 and even more in 2014, for overall capacity growth of about 4 percent (FIGURE 1).

figure1
FIGURE 1. More capacity will be added in the 2nd half of 2013 and even more in 2014.


Underdog DRAM surges to the front of the pack

Fab equipment spending for dedicated foundries remains strong in 2013 ($12B) and in 2014 ($13B) — a growth rate of 5 percent in 2014. Foundry equipment spending growth rates have been more controlled and not changing as dramatically as in other industry segments. In the years prior to the economic downturn, fab equipment spending for DRAM was the highest spending industry segment. Since 2011, however, the dedicated foundry sector replaced DRAM as the leading industry sector (FIGURE 2).

Fab equipment spending growth for DRAM turned negative in 2011 and 2012, as companies consolidated or diverted memory capacity into other products such as System LSI. DRAM equipment spending dropped by double digits in 2011 and 2012 (-35 percent and -25 percent respectively). SEMI’s data show that this will change dramatically, with DRAM fab equipment spending surging by 17 percent in 2013 and at least 30 percent in 2014. Driven by increased average selling prices (ASPs), up by about 40 percent in 2013, companies will begin to see profit on DRAM and slowly invest in new capacity (FIGURE 3).

figure2
FIGURE 2. Since 2011, however, the dedicated foundry sector replaced DRAM as the leading industry sector.
figure3
FIGURE 3. Companies will begin to see profit on DRAM and slowly invest in new capacity.

An increase of about 2 to 3 percent for installed capacity for DRAM in 2014 is small but remarkable, given that the industry has not added any new DRAM capacity for years, and actually decreased capacity between 2011 and 2013.

The sector with largest growth rate for fab equipment spending in 2014 is expected to be Flash with 40 percent to 45 percent (YoY). Over the last few years, with fears of oversupply and price collapse, capacity additions for the Flash sector also stagnated. Some companies even stopped or reduced adding new capacity (for example, Sandisk in 2012 and in 2013), leading to a tight supply, but a rebound in capacity is expected in the 2nd half of 2013 and through 2014. SEMI’s reports show detailed predictions for robust spending in DRAM and Flash by several large companies.

For example, Micron, which officially acquired Elpida and Rexchip in July 2013, will dedicate almost half of its total 2014 capital expenditure to DRAM. After converting several fabs from memory to System LSI, rival Samsung is also expected to change tactics, spending less on System LSI and more on Memory in 2013 and 2014. Samsung’s Flash facility in China is expected to ramp to phase 1 by end of 2014. (The World Fab Forecast report reveals more detail on this and other surprising changes for S1 facilities and Line 16.) Overall fab equipment spending for Flash alone is expected to hit a record of almost $8B in 2014. The largest contributors are the Samsung fab in China and Line 16, Hynix M12 and M11, Flash Alliance fabs and Micron fabs.

MPU Joins DRAM as the next underdog
After Flash and DRAM, MPU is expected to show the next largest growth in 2014, with fab equipment spending growing by over 40 percent (YoY). While MPU languished in 2011 and 2012, and even dipped into negative growth in 2013, with low utilization in some fabs, Intel is now preparing for 14nm, kicking off an MPU surge for 2014. The World Fab Forecast report gives insight into Intel’s preparations for 14nm.

Semiconductor companies appear to have mastered the art of fast adaptation to chip prices and business developments. With improving prices for DRAM, similar changes steer various sectors of the industry into unprecedented growth. With GDP predictions around 3 to 4 percent, revenue expectations in upper single digits, and historic numbers for equipment spending, next year could be a golden year for many semiconductor companies and equipment manufacturers.

SEMI World Fab Forecast report
Since the last fab database publication at the end May 2013 SEMI’s worldwide dedicated analysis team has made 242 updates to 205 facilities (including Opto/LED fabs) in the database. The latest edition of the World Fab Forecast lists 1,147 facilities (including 247 Opto/LED facilities), with 66 facilities with various probabilities starting production this year and in the near future. We added 14 new facilities and closed 8 facilities.

The SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses. The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. •


CHRISTIAN GREGOR DIESELDORFF is the Senior Analyst, Director, Industry Research & Analysis Industry Research and Statistics Department at SEMI, San Jose, CA.

The TV market has experienced a significant slowdown in growth over the past couple of years and, with OLEDs delayed, there have been no significant new premium features to drive consumer interest and spur replacement demand. Late in 2012, panel makers began to aggressively push new ultra-high definition panels (UHD) 3840×2160, intended for the larger sized TV panels, a more profitable segment of the TV panel market.

UHD TV panels reached 0.4 million units in the second quarter of 2013, up 142% QoQ, with a forecast of 0.8 million units for the third quarter, a 107% QoQ increase. While penetration, by unit, into the TV panel market will be just 1% in 2013, it should rise to 8% in 2017. Penetration by revenue will rises much faster, reaching 20% by 2017.

UHD TV panels are primary found in a limited number of the larger size panels, but it will quickly diversify into a large variety of panel sizes from between 3x-inch and to over 100-inch panels.While 50-inch and 55-inch panels currently predominate, in 2017 there will be 2.6 million 60-inch panel shipped with UHD, 2.3 million 42-inch panels, 2 million 39-inch panels and 48-inch panels shipped with UHD.

Although panel suppliers are very aggressive for UHD displays, there are obstacles to market growth of insufficient content, inefficient production, high price and insufficient capacity, that panel and set makers must solve to realize the full potential of UHD products.

This report presents an in-depth analysis of large LCD panel shipments, size, resolution, backplane technology and panel makers. It also provides a market outlook of panel shipments by unit and area, by value, and by size, with a market analysis of the outlook for Average Selling Price and Unit Area Price. Each application receives its own in-depth analysis based on its particular market issues and outlook.

703962

Micron Technology, Inc. today announced that the company has named Brian Angell, vice president, Advanced Controller Development.

Angell will be responsible for leading Micron’s controller development in support of Micron’s enterprise, mobile and embedded businesses. He brings to Micron nearly 30 years of technology experience in various senior engineering roles across several leading companies. He most recently served as vice president of engineering for NVIDIA’s mobile business unit — one of multiple roles he held at NVIDIA since joining the company in 2002.

“As Micron continues our evolution to more systems-level solutions, we continue to invest in the area of systems enablement that includes a focus in areas such as controller, firmware and software development,” said Micron President Mark Adams. “We couldn’t be more excited to have someone of Brian’s caliber leading Micron’s advanced controller development efforts.”

Angell’s professional background includes serving as director of engineering at Vivace Networks from 2000 to 2002, Chief Technology Officer of Raydiant from 1998 to 1999 and director of consumer products at 3dfx Interactive from 1996 to 1998. He also held engineering roles at Sun Microsystems and Sentry Schlumberger. Angell earned a bachelor of science in Electronics Engineering Technology from Devry Institute of Technology in 1984.

How bad were conditions in the notebook PC market during the second quarter?

So bad that that even the red-hot segment of display panels for touch-screen mobile PCs suffered a sequential decline during the period, according to a new report entitled “Touch Panel Shipment Database – Notebook PC – Q3 2013,” published by IHS.

Shipments of touch-screen panels for notebook PC amounted to 4.4 million units in the second quarter of 2013, down 4.9 percent from 4.6 million in the previous quarter, as presented in the attached figure. Up until the second quarter, shipments of these panels had been skyrocketing, rising by 52 percent in the first quarter, by nearly 3,000 percent in the fourth quarter of 2012 and by 222 percent in the third quarter of 2012.

632785

Despite the sequential decline, the nascent market for notebook touch screens still is expanding explosively on a year-over-over basis, with shipments surging to 8.9 million units in the first half of 2013, up from a mere 53,000 in the first half of 2012.

“The touch-screen notebook market stalled in the second quarter, reflecting generally terrible conditions in the mobile PC segment,” said Duke Yi, senior manager for display components and materials research at IHS. “Shipment growth also was impacted as PC makers prepared new models for introduction in the second half of 2013. The good news for the market is that sequential growth is forecast to recover in the second half, traditionally the peak season for PC product sales, following launches of new product lineups.”

Second-quarter blues

Worldwide shipments of all types of mobile PCs—including both conventional and touch-screen models—shrank a steep 5.1 percent during the April to June period compared to the first three months of the year. This represented the first time the notebook PC market experienced a sequential decline since the second quarter of 2002, during the dot-com bust. The mobile PC industry this time faced tough competition from media tablets, depressing sales.

Area reprieve

While unit shipments declined in the second quarter, the market for notebook PC touch screens actually expanded based on another growth metric: panel area. Mobile PC touch-screen-panel shipments measured in terms of square inches rose by 3.4 percent in the second quarter compared to the first. This indicates that display sizes for touch-screen notebooks are expanding.

Notebook touch panels sized 11.6 inches or smaller accounted for 36.8 percent of total shipments in the second quarter, down from 52.7 percent during the previous quarter. Meanwhile, combined shipments of 13.3- , 14- , and 15.6-inch laptop touch panels, which have emerged as the mainstream sizes, jumped to 57.1 percent of the total market, up from 40.1 percent in the first quarter.

Price plunge

Although touch-panel shipments by area increased quarter-on-quarter, prices of touch panels fell significantly amid intensifying competition. The average selling price of laptop touch panels dropped more than 10 percent, despite growing demand for larger touch-screen panels.

Meanwhile, the utilization of low-end technologies has been on the rise. For example, the use of sodalime for the cover glass of a notebook touch panel has increased, replacing aluminosilicate, which made up 35.7 percent of the cover-glass market in the second quarter, down from 65.7 percent in the previous quarter.

The growing adoption of low-end technologies in the notebook PC sector indicates ongoing efforts in the market to cut touch-panel costs while expanding touch-screen notebook PC displays to be as large as those used in conventional notebook PCs.

Happy as a clamshell

Traditional clamshell PCs in the second quarter accounted for 75 percent of total touch-screen notebooks, up from 58 percent during the same period in 2012. Meanwhile, the market share for high-end detachable-type touch-screen notebook PC fell to 11.5 percent, down from 23.7 percent. Development costs for clamshell notebooks are lower than those of other form factors, prompting greater participation from PC makers.

Amid increasing competition, display supplier TPK Holding Co. from Taiwan lost share during the second quarter in the notebook PC touch-screen panel market. The company’s share of market dropped to less than 50 percent. Meanwhile, AU Optronics Corp., also from Taiwan, and China’s Shenzhen O-Film Tech Co. posted rapid growth during the same period. Notebook PC makers on the whole have been diversifying touch screen panel suppliers to reduce the prices of the panels.

The market for controller integrated circuits (ICs) used for the laptop touch screen panels was also hit by fierce competition. California-based Atmel Corp., which previously led the market, lost ground to Taiwan’s ELAN Microelectronics Corp. in the second quarter. Two other entities expanded their market share—Synaptics Inc., also of California; and eGalax-eMPIA Technology Inc., another Taiwanese maker.

IHS timely published a quarterly “Touch Panel Shipment Database – Notebook PC” report to help them understanding the notebook-use projected capacitive touch panel industry quickly and accurately. The report provides quarterly shipments of touch-screen notebooks by unit/area/value; by inch; by brand; by form factor; by touch panel layer; by touch panel module and controller IC maker; and by cover window materials and bonding type, as well as top five models in terms of shipments.

The report should offer insight into the related market and industry to notebook set makers that are interested in notebook-use projected capacitive touch panels and companies related to touch panel modules, parts and raw materials.

Applied Materials, Inc. today announced new technology systems for manufacturing large size and ultra-high definition (UHD) LCD and OLED displays that meet the demand for greater screen performance, clarity, color and brightness. The Applied AKT-PiVot 55K DT PVD, Applied AKT-PiVot 25K DT PVD and Applied AKT 55KS PECVD systems extend Applied’s leadership in metal oxide (MO) films and technologies for smaller, faster thin film transistors (TFTs) required to create high-resolution displays.  Through precision materials engineering and productivity innovations, these PVD and PECVD systems provide an optimized, cost-effective solution for volume production of future MO-enabled displays.

MO-based TFTs help enable low-power, high-resolution smart phones and tablets as well as some OLED TV technologies. Future 4K TVs are also expected to adopt MO TFTs. The uniformity and particle control of Applied’s PVD and CVD systems help customers deliver these new display technologies with high yield in mass production.

“Our new PVD and PECVD systems will accelerate display industry roadmaps by enabling customers to transition to MO materials using proven technologies,” said Ali Salehpour, senior vice president and general manager, Applied Global Services and Growth Markets. “We worked closely with customers to develop these solutions to address their critical uniformity, particle control and stability challenges, clearing major implementation hurdles, especially with regard to OLED. We can support the various product strategies of our customers by expanding these solutions to different substrate sizes, allowing for multiple technology paths for manufacturing large-area TVs or energy-efficient screens for mobile devices.”

Applied’s AKT-PiVot DT PVD systems (55K for 2200mm x 2500mm and 25K for 1500mm x 1850mm substrates) extend the company’s proprietary rotary cathode array technology to deliver proven highly uniform, homogeneous and low-defect, active-layer deposition for MO (e.g. IGZO), as well as interconnect metals and pixel electrodes. Enabling high TFT stability with uniform PiVot-deposited IGZO films is critical for display quality, and is key to realizing MO backplanes for small- and large-area OLEDs. As TFTs get smaller and substrates get larger, the impact of uniformity and particles on yield is significantly magnified. The systems’ self-cleaning rotary targets with directional plasma control deliver notably fewer defects and outstanding uniformity compared to conventional planar targets. To effectively provide this high-value performance cost, the system’s independent dual processing tracks on a single platform provide high production capacity in a small footprint. The deposition of robust, mura-free IGZO films, combined with uniform, low defect metals, pixel electrodes and new integrated passivation layers (AlOx), allows for unprecedented technical performance and flexibility.

Applied’s new AKT 55KS PECVD system brings precision PECVD technology to 2200mm x 2500mm size substrates. It deposits a dielectric-layer interface for MO transistors with a new advanced-quality silicon oxide (SiO2) process that minimizes hydrogen impurities to improve long-term transistor stability and optimize screen performance. By maintaining the uniformity performance and particle control required to achieve high production yields, the AKT-55KS PECVD system provides a rapid, easy to implement path for manufacturing high-quality MO displays.

Largely driven by demand from upscale Samsung smartphones, particularly the Galaxy S4, global shipments of high-end active-matrix organic light-emitting diode (AMOLED) display panels posted impressive sequential growth of 16 percent in the second quarter.

Global AMOLED display shipments during the period from April through June amounted to 53.1 million units, up from 45.6 million in the first quarter, according to the OLED Displays Market Tracker – Q3 2013 report from IHS Inc. Shipments were up by an even more impressive 41 percent from 37.6 million in the second quarter of 2012, as presented in Figure 1.

Figure 1

Figure 1

“Samsung is driving the rapid growth of the small-sized AMOLED market, with the company responsible for most of the supply and the demand for the panels,” said Vinita Jakhanwal, director for Mobile and Emerging Display Technologies at IHS. “In terms of demand, the company’s smartphone business is the largest AMOLED panel consumer. On the supply side, Samsung Display Corp. is the largest AMOLED producer. With Samsung’s line of Galaxy smartphone enjoying fast sales growth, its AMOLED business is expanding quickly as well.”

Samsung in charge
Samsung’s Galaxy S4 smartphone in the second quarter was the biggest single user of AMOLEDs, with shipments of the 4.99-inch panels used in the device reaching10 million units per month. The S4 was also the biggest factor driving the growth of the overall AMOLED market, owing to booming demand for the smartphone.

Also racking up big numbers was the 4.8-inch panel, utilized in the older Samsung Galaxy S III. However, total shipments for this panel size are starting to decline because the newer S4 model now is more in demand among consumers.

Samsung also employed a 5.5-inch AMOLED panel in the Note 2, a smartphone-cum-tablet that Samsung dubs a “phablet.”

Smartphone supremacy for AMOLEDs
“Smartphones are the primary users of AMOLED panels, because of the displays’ image clarity, lighter weight and high contrast ratio over competitive panel technologies like low-temperature polysilicon (LTPS),” said Jerry Kang, senior analyst at IHS.

“Smartphones in the third quarter are estimated to have maintained their dominant share of the AMOLED market, with close to a 97 percent share. The remaining 3 percent is split among a passel of applications, including handheld gaming devices, digital still cameras, camcorders and tablets,” Kang added.

Sizing up the market
Among the various AMOLED panel sizes, the overwhelming majority—at 45.2 million units, or 85 percent—was in the 4.x-inch category in the second quarter, as shown in Figure 2 attached. The second-largest portion of the market, at approximately 5.0 million units, or 9 percent, was the 5.x-inch segment. The last sector of consequence was the 3.x inch with 2.8 million units, or 5.3 percent.

Figure 2

Figure 2

The remaining one percent represented panels in the 2.x- and 7.x-inch sizes as well as bigger panels used in televisions. These included panels in the 50-inch range, such as those used in Samsung’s new 55-inch OLED TV, launched in June to compete with a similar OLED model introduced by Samsung archrival LG Electronics.

The second-quarter performance also was notable in terms of panel shipment area. The total for the period came to 341,000 square meters, up 18 percent from the earlier quarter and a significant increase of 64 percent on an annual basis.

Average panel size and average selling prices are both up
The average size of panels sold in the second quarter amounted to 4.83 inches, or 0.6 percent more than 4.80 inches in the previous quarter. Meanwhile, unit area prices (ASP/inch),rose to $2.79, up 5 percent from $2.66 in the first quarter.

The increase in average panel sizes resulted from the sharp growth in 4.99-inch panel shipments. The upgraded performance of AMOLED panels in this size, which employ full high-definition resolutions, appears to have boosted the ASP of the 4.99-inch panel, compared to the 4.8-inch.

Notwithstanding the good showing, AMOLED panels make up a mere fraction of the overall market for display panels. 
Total shipments for small- and medium-sized panels in all applications during the second quarter amounted to 743 million units, still largely made up of liquid-crystal displays (LCD). OLED accounted for just 6 percent of the overall small and medium display market, and represented only 9 percent of the total mobile handset display business.

Fujifilm and imec have developed a new photoresisttechnology for organic semiconductors that enables the realization of submicron patterns.

Due to their lightness, flexibility, and the possibility to manufacture them in large area, research and development on organic semiconductors has intensified in recent years. Organic semiconductors can be used in various applications such as organic solar cells, flexible displays, organic photodetectors and various other types of sensors. Current methods for patterning organic semiconductors include shadow masking and inkjet printing. However, these patterning methods are not suitable for high-resolution patterning on large-size substrates. Patterning based on photolithography6 would solve this issue. But photolithography is currently mainly adopted for patterning of silicon semiconductors. When applying it to organic semiconductors using standard photoresists, the photoresist dissolves the organic semiconductor material during processing.

Fujifilm and imec have developed a new photoresist technology that enables submicron patterning on large-size substrates without damaging the organic semiconductor materials. The new photoresist technology was developed by fusing the semiconductor processing technology of Fujifilm and imec, with Fujifilm’s synthetic-organic chemistry material design technology. Since existing i-line photolithography equipment can be used, and investment for new equipment is unnecessary, the new technology contributes to a cost-effective production of high-resolution organic semiconductor devices.

For technical verification, Fujifilm and imec developed organic photo detectors (OPD) and organic light-emitting diodes (OLED) using the new photolithography technology, and tested their performance. Organic semiconductor materials were patterned to produce OPD composed of fine light receiving elements down to 200μm×200μm size. Generally, patterning of organic semiconductor materials degrades the property of converting light into electricity (photoelectric conversion property), but the OPD developed in this case were patterned without degradation. With respect to the OLED arrays that were produced using the newly developed photolithography pattering method: 20μm pitch OLEDs emitting uniform light, were realized.

Fujifilm and imec officials say they plan to continue to contribute to industrialization of organic electronics by advancing research and development of semiconductor materials, processing technology and system integration.

fujifilm photoresist 1