Category Archives: Displays

Ludo DefermLudo Deferm, Executive Vice President, imec, Leuven, Belgium

For almost five decades, performance improvements and cost reduction of ICs have been cornerstones of the growth of the semiconductor industry. All these years, the semiconductor industry continued to scale down transistor dimensions, and, at the same time, maintained its historical 30 percent cost saving per logic gate, node after node.

Today, the question we are all concerned about is: can the industry keep up this trend? I fully expect that the technical roadmap for scaling, backed up by the ITRS roadmap, will continue for at least one decade. At the same time, the costs associated with such a further node progression will increase significantly. Equipment and R&D costs keep rising node after node, and continuous investments in fabs and equipment remain crucial if the industry wants to stay at the forefront of innovation. This may push the industry towards a new round of consolidation. Just as was the case with IC companies in the past, a consolidation of equipment suppliers could be a necessity to cope with the rising costs.

So the real question is: can the industry upgrade the IC performance and maintain its 30 percent cost saving for integrated circuits at the same time? The answer is not straightforward. It will depend, among others, on the complexity of the technologies and equipment we’ll need. One notable example, is of course, EUV. As EUV relaxes the need of multiple patterning, it would significantly reduce the processing cost per wafer. On the other hand, additional investment costs will come along with introducing EUV tools in the fab. Another worry is wafer size. Historically, the semiconductor industry used wafer scale-up as an additional means to maintain the cost reduction per transistor. But will it be advantageous this time to scale up towards 450mm wafers? In the coming years, industry leaders must make smart choices on breakthrough technologies and wafer size. More than ever, these decisions will be driven by economics.

The same trends that dominate the semiconductor industry can be witnessed at the R&D level as well. At imec, we perform CMOS R&D two generations ahead of industrial needs. 30 years ago, our initial focus was on the 1.25µm node. In 2014 our workhorse is the 7nm node and beyond. To print these extremely small features at an affordable price, we need the accuracy and “simplicity” of EUV lithography. Also, in answer to rising equipment costs, we need to further strengthen our collaboration with leading equipment and material suppliers of the IC industry, which more than ever will play a key role in our innovation hub. We believe this will reinforce the interaction between IC manufacturers and suppliers, and is essential for implementing the next steps in innovation and scaling.

2014 and 2015 will be crucial years. The industry will introduce and ramp up the 14nm technology node and use advanced FinFETs in an industrial processing technology. We will witness shortly the real cost of this advanced technology node, allowing us to learn for the future. At first, EUV will be part of a future technology. If its throughput can be improved and the tool becomes mature, it will gradually be introduced in the years to come and will create additional operational cost benefits compared to immersion lithography. For sure, 2014 will be an exciting year.

<<Previous   1   2   3   4   5   6   7   8   9   10    Next>>

Cestari, JoeJoe Cestari, President, Total Facility Solutions

As far as the outlook goes for 2014-2015, investments coming from the semiconductor and microelectronics industries are going to be pretty robust. However, it will likely remain a consolidated group effort, with a majority of the investments coming from the few major players in the industry. The latest semiconductor industry forecast suggests a 21% increase in semiconductor equipment sales in 2014 to almost $44 billion.

The key drivers are flash fab investments in China and Japan, as well as processor and foundry fab investments in Ireland and the U.S. Strong demand for smartphones and tablet devices and pent-up need for capacity will lead the industry to one of the highest levels ever for equipment investment. Although equipment spending will see strong growth, new fab investments are expected to drop in 2014, as fabs under construction in 2013 will be installing tools in 2014.

We continue to see a need for increased collaboration moving forward. Whether you are a believer in Moore’s Law or not, technology needs to continue to advance for the sake of cost and efficiency. However, this is not easily accomplished without some level of collaborative technology development. New efforts from the likes of the G450C and F450C should help drive the level of industry collaboration that will be necessary to advance technology in the years ahead.

The U.S. finds itself in a unique position; the market is still lingering with uncertainty as we deal with economic and political issues. However, US manufacturing costs are now on par with developing countries as land and labor continue to diminish as part of the overall capital and operating costs for a factory, so we should continue to see increased technology investments especially in the area of personal health and quality of life products.

In the coming years, we will need to find new ways to foster innovation. Gone are the days when start-ups were popping up left and right, but we need to continue to see this type of technological creativity and innovation to keep our industry alive. It’s our job now to help nurture a new generation of engineers that have that start-up mentality, so we can continue to grow this industry at the pace our consumers demand.

<<Previous   1   2   3   4   5   6   7   8   9   10    Next>>

ThakurRandhir Thakur, Executive Vice President, General Manager, Silicon Systems Group, Applied Materials, Inc.

Innovations in mobile computing and communications will continue to be a driving factor for the semiconductor equipment industry. To enable high performance chips for new and exciting applications, our foundry/logic and memory customers that manufacture semiconductors are migrating from lithography-enabled 2D transistors and 2D NAND to materials-enabled 3D transistors and 3D NAND.  These device architecture inflections require significant advances in precision materials engineering in conformal materials deposition, materials removal, and materials modification.  Selective materials processes will play a more prominent role.  Smaller features and atomic-level thin films also make interface engineering and process integration more critical than ever.

Some significant ongoing industry developments to highlight are the new materials and architectural changes in the transistor to reduce power consumption and drive performance gains. The increased complexity of the 3D FinFET architecture in combination with continued scaling requires great precision in structure formation, especially when forming the gate. More advanced atomic-level process technologies in selective epitaxy, metal gate, implant, anneal, etch, and planarization are needed. Also critical to meeting the industry’s precision engineering requirements are improved materials that offer more choices for increasing selectivity, control and performance. And, let’s not forget the advances underway to develop new higher mobility channel materials.

Another exciting inflection in 2014 is our memory customers’ transition from planar two-dimensional NAND to vertical three-dimensional NAND. 3D technology holds the promise of terabit-era capacity and lower costs by enabling denser device packing, the most fundamental requirement for memory. There are complex device performance and yield challenges, such as distortion-free high aspect ratio etching, staircase case patterning with precise step-width control, uniform and repeatable gate stack deposition.

<<Previous   1   2   3   4   5   6   7   8   9   10    Next>>

Denny McGuirkrev Face Shot (for web)Denny McGuirk, president and CEO, SEMI

For most of the past 15 years, the industry has displayed a fairly predictable pattern of fab equipment spending, characterized generally by two years of decline followed by two years of positive growth. In 2012 and 2013, the fab equipment market contracted, while 2014 and 2015 are expected to be positive. According to the SEMI World Fab Forecast, the 2014 wafer fab equipment market is expected to grow over 28% to $32.2 billion. Taiwan will lead in spending (over US$9 billion), while Korea and the Americas will each spend at least $6 billion, and China and Japan will each spend about $4 billion. Spending for packaging and test equipment will also rebound in 2014 to $2.5 billion (4.2% growth over 2013) and $2.95 billion (5% growth) respectively. Spending on semiconductor materials will mirror semiconductors unit growth reaching an estimated $45 billion (2.5% growth)

In terms of construction, across the industry, there were 40 major projects on-going in 2013, and 28 are predicted for 2014. Construction spending growth for 2013 was about 40% (to $7.5 billion). By 2014, this will drop by 15% (to $6.4 billion). Several large construction projects are already underway or expected to start soon, but construction spending is expected to decline in both years. The two industry segments predicted to add the most capacity, based on demand, are foundries and NAND. Other segments, such as DRAM, analog, and logic, are not expected to add new capacity. MPUs may add some new capacity this year.

The coming year may add more clarity to uncertain technology roadmaps. The economics of technology nodes are increasingly dependent on the continued source power and throughput improvements on EUV lithography. These uncertainties, including the roll-out of 450mm wafer processing, have impacted plans and schedules for high-volume production. Penetration of 2.5D and 3D stacked ICs into high-volume applications are also dependent on continued process technology improvements. New materials and process innovations will continue to unfold in non-planar transistor architectures, and new test methodologies and flows will develop to meet the needs of leading-edge devices, including 3D stacked devices.

With increasing economic and technological uncertainty, the industry will continue to develop and evolve methods for more effective collaboration and expanded public-private partnerships. In addition to tighter supply chain engagement on next generation nodes, 450mm wafer processing and 3D-IC, both the European Union with its 10/100/20 program, and the U.S. Government, through the National Network Manufacturing initiative, will offer increased visibility and support for microelectronics manufacturing in the coming year.

<<Previous   1   2   3   4   5   6   7   8   9   10    Next>>

CorbettMike Corbett, Linx Consulting and Duncan Meldrum, Hilltop Economics

We approach 2014 with a combination of positive policy, financial, and economic forces that will push world growth up to a +3% range.  With positive developments on the U.S. policy and jobs front, slow progress in Europe, and stabilization in Asia, the outlook for reasonable global growth in 2014 looks better than it has since the first year of recovery from the recession of 2008-09.

For the last few years, economic forecasts from most private and public forecasting groups were downgraded consistently as time passed as forecasters adjusted their longer term forecasts downward for the weaker capital accumulation that has occurred in this recovery.   That process finally appears to be ending.  The latest forecast revisions by Consensus Forecasts have been much more balanced, resulting in a barely perceptible upward revision to the world growth outlook.

Linx Consulting and Hilltop Economics have worked to tie global economic output to silicon demand, through a proprietary modeling service. Silicon demand, expressed in terms of millions square inches (MSI) is now projected to grow 6.5% in 2014. This is stronger outlook is based on demand improving, some snap-back from the Q4 slippage, and lower uncertainty around policy.

While this growth is a welcome relief from the relative stagnation for the last few year, and we have seen prices increase in traditionally price sensitive markets such as DRAM, this does not mean that the industry is on the path to sustained profitability, as it is entering an era of unprecedented change. There is fundamental industry change as the market re-aligns itself to transition from the PC era to the mobile era as well as architectural and technology changes. Key architectural changes being implemented include changes in both memory and logic devices, with the introduction of FinFETs and 3D NAND and MRAM, etc. Key technology changes the implementation of EUV lithography, novel materials as well as the introduction of 450mm wafers.  All of which have inherent risk and need to be adequately funded and developed through to commercialization.

We already see the industry value chain re-aligning to face these major challenges.  There are fewer IDMs investing in advanced node manufacturing; consortia are being reorganized and set up to help with the basic research; OEMs are consolidating to produce the scale required to bring new technologies and processes on-line; and, the chemicals and materials suppliers are starting to gain more knowledge on upstream suppliers for quality issues and some are exploring their strategic options for their electronic chemicals and materials business.

The semiconductor industry has a long history of success based on continued innovations in the business model, advanced technological solutions and forward thinking vision.  Change is also a certainty in this industry.  In order to address the long list of challenges above, we believe that not only will new collaborative models be required, but they must be funded on a sustainable basis as well. Ensuring sufficient funding and profitable returns on R&D and capital investments will be a challenge for the entire industry.

<<Previous   1   2   3   4   5   6   7   8   9   10    Next>>

McClean Color copyBill McClean, President, IC Insights

In 2013, the IC industry emerged from a difficult 5-year period of minimal growth and started on its next cyclical upturn, a welcome piece of news.  From 2007-2012, the IC market grew at an average annual rate of just 2.1%.  In IC Insights’ opinion, the current cyclical upturn that started in 2013 will continue with several solid years of growth, peaking with 11% market growth in 2016.  The IC market CAGR is forecast to nearly triple to 5.8% in the 2013-2018 time period.  During this time, unit shipments are forecast to increase at an average annual rate of 6.3% and the total IC average selling price (ASP) is forecast to decline at an average rate of 1.0%.

IC Insights believes that IC industry cycles are becoming increasingly tied to the health of the worldwide economy.  It is rare to have strong IC market growth without at least a “good” worldwide economy to support it.   Consequently, over the next five years, annual global IC market growth rates are expected to closely mirror the performance of worldwide GDP growth.

After increasing 2.8% in 2013, global GDP is forecast to rise to 3.4% in 2014 (FIGURE 1), which is on par with the 30-year average.  The U.S., Japan, U.K., and the Eurozone (i.e., mature economic markets) are each forecast to experience improved, though still tempered, GDP growth in 2014.

In the U.S., the most significant factor holding back better GDP growth has been the high unemployment level.  The unemployment level gradually improved and stood at 7.0% in December 2013.  Some forecasts show it decreasing to 6.5% by the end of 2014.  An improving employment picture, strong orders for new equipment, and upward-trending economic indicators add up to positive momentum for the U.S. economy heading into 2014.

 FIGURE 1. Global GDP is forecast to rise to 3.4% in 2014, which is on par with the 30-year average.


FIGURE 1. Global GDP is forecast to rise to 3.4% in 2014, which is on par with the 30-year average.

China, which is the leading market for personal computers, digital TVs, smartphones, and automobiles, is forecast to lose more economic momentum in 2014.  Its GDP is forecast to increase 7.5% in 2014, which continues an annual downward trend that started in 2010.  China’s GDP growth was 7.7% in 2013.   China’s new leadership is attempting to shift the country’s growth from being highly dependent on infrastructure investment and exports to one that relies more on consumer consumption.

The historical correlation between worldwide GDP growth and semiconductor industry growth is good, but IC Insights believes that this correlation will be very good in 2014. Using a worldwide GDP forecast of 3.4%, the most likely range for worldwide semiconductor market growth in 2014 is 2-12%, with IC Insights’ forecast calling for 7% growth in the 2014 semiconductor market.

<<Home   1   2   3   4   5   6   7   8   9   10    Next>>

#11: Fastest Ge p-MOSFET


December 11, 2012

Slide 11-1 Slide 11-2 Slide 11-3

Fastest Ge p-MOSFET: Germanium (Ge) is of interest as a potential material for the channels of future ultrafast CMOS devices because it offers high hole mobility that enables fast switching. However, because Ge is unstable in the air, it is typically covered, or passivated, with a silicon layer. Unfortunately, that increases the effective oxide thickness (EOT) on the Ge layer – a limiting factor for future devices which must be extremely dense with ultra-thin oxide layer. A research team led by the University of Tokyo will describe how they eliminated the Si passivation layer, and instead used a plasma post-oxidation technique to process a Ge channel’s surface and to optimize its interface properties with NiGeSi source/drains and high-k HfO2/Al2O3/GeOx and Ta gate stacks. They also discovered that the electron mobility in the Ge channel can be enhanced to the highest value ever achieved by asymmetrically straining it along its <110> crystal facet. They used these techniques to build the fastest Ge p-MOSFET ever reported, with record peak hole mobility of 763 cm2/Vs, at a thin EOT of just 0.82nm.

  • The image at left above shows the fabrication process and device structure of s-Ge p-MOSFETs with self-aligned NiGeSi metal source/drains.
  • The middle image is a TEM electron microscope cross-section of the interfacial layer.
  • The image at right is a graph showing the effective mobility of the s-Ge -pMOSFETs.

(Paper #26.1, “High Mobility Strained-Ge pMOSFETs with 0.7-nm Ultrathin EOT using Plasma Post Oxidation HfO2/Al2O3/GeOx Gate Stacks and Strain Modulation,” R. Zhang et al, Univ. Tokyo)

<< Previous                         Home>>

Jump to Page [1]   [2]   [3]   [4]   [5]    [6]   [7]   [8]   [9]   [10]   [11]

Slide 10-1 Slide 10-2Slide 10-3

Visualizing CBRAM Filaments: CBRAM (conductive bridging RAM) is a promising non-volatile memory technology offering low-power operation, fast switching, high endurance and scalability. CBRAM’s redox (reduction-oxidation)-based electrochemistry relies on the fact that some amorphous materials with relatively large amounts of metal can behave as solid electrolytes. Under a voltage bias, metal ions in such an insulating amorphous layer can be reduced to form a conductive filament, or pathway, through it. The process can be reversed as needed, thereby enabling the reading and writing of memory data. Device performance is directly related to the properties of the conductive filament, but because the filament is internal to the solid layer, it is difficult to see, which makes it difficult to optimize. IMEC researchers will describe a 3D imaging approach that enables them to “see” how and where the filament forms in the amorphous layer. Similar to medical CAT scans which take multiple X-ray “slices” of internal organs and combine them to create 3D images, the IMEC approach uses conductive atomic force microscopy to take many slices of the amorphous layer. Each slice maps where electrical conduction is occurring, and the slices are then combined to render 3D images of the entire conductive filament.

The left image is a model of the slices, with blue showing the area of conduction in each slice.  The middle image is a model with the slices combined to show the filament.  The right image shows measurements of the conductive filament superimposed onto the computer model of it.

(Paper #21.6, “Conductive-AFM Tomography for 3D Filament Observation in Resistive Switching Devices,” U/ Celano et al, IMEC)

<< Previous                        Next>>

Jump to Page [1]   [2]   [3]   [4]   [5]    [6]   [7]   [8]   [9]   [10]   [11]

The integration scheme allows for a reduced, more uniform diffusion distance, affording a more abrupt junction.

The integration scheme allows for a reduced, more uniform diffusion distance, affording a more abrupt junction.

Record Silicon Nanowire MOSFETs: IBM researchers will describe a silicon nanowire (SiNW)-based MOSFET fabrication process that produced gate-all-around (GAA) SiNW devices at sizes compatible with the scaling needs of 10nm CMOS technology. They built a range of GAA SiNW MOSFETs, some of which featured an incredible 30nm SiNW pitch (the spacing between adjacent nanowires) with a gate pitch of 60nm. Devices with a 90nm gate pitch demonstrated the highest performance ever reported for a SiNW device at a gate pitch below 100nm— peak/saturation current of 400/976µA/µm, respectively, at 1 V. Although this work focused on NFETs, the researchers say the same fabrication techniques can be used to produce PFETs as well, opening the door to a potential ultra-dense, high-performance CMOS technology. (Paper #20.2, “Density Scaling with Gate-All-Around Silicon Nanowire MOSFETs for the 10nm Node and Beyond,” S. Bangsaruntip et al, IBM)

The TEM electron microscope images above show: a) a cross section of a completed device through a gate, illustrating the spacer, epitaxial source/drain and contacts;  (b) the cross section of a silicon nanowire (SiNW) decorated with a high-Z film to better show the nanowire’s boundary. The effective SiNW diameter shown is 12.8 nm; (c) a close up of the region of interest indicated in a), showing that the source/drain is epitaxially regrown from the cut face of the nanowire. The lattice planes in the epi region are registered to that of the original SiNW channel (parallel red dashed lines).

The TEM electron microscope images above show: a) a cross section of a completed device through a gate, illustrating the spacer, epitaxial source/drain and contacts; (b) the cross section of a silicon nanowire (SiNW) decorated with a high-Z film to better show the nanowire’s boundary. The effective SiNW diameter shown is 12.8 nm; (c) a close up of the region of interest indicated in a), showing that the source/drain is epitaxially regrown from the cut face of the nanowire. The lattice planes in the epi region are registered to that of the original SiNW channel (parallel red dashed lines).

<< Previous                        Next>>

Jump to Page [1]   [2]   [3]   [4]   [5]    [6]   [7]   [8]   [9]   [10]   [11]

Slide 8

300mm wafer-scale Ge FinFET: One of the main challenges to the use of Ge in advanced devices is the need for a cost-effective and manufacturable method to integrate highly crystalline Ge on a silicon wafer. At last year’s IEDM, TSMC described the successful integration of p-channel Ge FinFETs on 300mm silicon wafers using an aspect ratio defect-trapping method. This year, they improved the performance of p-channel Ge FinFETs by implementing: 1) an optimized 8-angstrom capacitance-equivalent thickness gate stack fabricated with a replacement-gate process; 2) a scaled-down fin width; and 3) the <110> crystal direction in the channel. Compared to any other reported non-silicon pFET, the 20nm-channel-length FinFETs demonstrated 2.5 times better subthreshhold slope performance, twice the on/off current and record electrical conductance of 2.7mS/µm.

The figure above shows that the transconductance (transport properties) of the p-channel Ge FinFETs is better than any previously reported data at a given subthreshold slope (short channel effects).  The right side of the figure then adjusts the transconductance for the difference in gate oxide thickness, and makes the point that it still shows the advantage over the previous work.

(Paper #20.1, “Scaled P-Channel Ge FinFET With Optimized Gate Stack and Record Performance Integrated on 300mm Si Wafers,” B. Duriez et al, TSMC)

<< Previous                        Next>>

Jump to Page [1]   [2]   [3]   [4]   [5]    [6]   [7]   [8]   [9]   [10]   [11]