Category Archives: Displays

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Enabling the AI Era with Materials Engineering

Screen Shot 2018-03-05 at 12.24.49 PMPrabu Raja, Senior Vice President, Semiconductor Products Group, Applied Materials

A broad set of emerging market trends such as IoT, Big Data, Industry 4.0, VR/AR/MR, and autonomous vehicles is accelerating the transformative era of Artificial Intelligence (AI). AI, when employed in the cloud and in the edge, will usher in the age of “Smart Everything” from automobiles, to planes, factories, buildings, and our homes, bringing fundamental changes to the way we live

Semiconductors and semiconductor processing technol- ogies will play a key enabling role in the AI revolution. The increasing need for greater computing perfor- mance to handle Deep Learning/Machine Learning workloads requires new processor architectures beyond traditional CPUs, such as GPUs, FPGAs and TPUs, along with new packaging solutions that employ high-density DRAM for higher memory bandwidth and reduced latency. Edge AI computing will require processors that balance the performance and power equation given their dependency on battery life. The exploding demand for data storage is driving adoption of 3D NAND SSDs in cloud servers with the roadmap for continued storage density increase every year.

In 2018, we will see the volume ramp of 10nm/7nm devices in Logic/Foundry to address the higher performance needs. Interconnect and patterning areas present a myriad of challenges best addressed by new materials and materials engineering technologies. In Inter- connect, cobalt is being used as a copper replacement metal in the lower level wiring layers to address the ever growing resistance problem. The introduction of Cobalt constitutes the biggest material change in the back-end-of-line in the past 15 years. In addition to its role as the conductor metal, cobalt serves two other critical functions – as a metal capping film for electro- migration control and as a seed layer for enhancing gapfill inside the narrow vias and trenches.

In patterning, spacer-based double patterning and quad patterning approaches are enabling the continued shrink of device features. These schemes require advanced precision deposition and etch technologies for reduced variability and greater pattern fidelity. Besides conventional Etch, new selective materials removal technologies are being increasingly adopted for their unique capabilities to deliver damage- and residue-free extreme selective processing. New e-beam inspection and metrology capabilities are also needed to analyze the fine pitch patterned structures. Looking ahead to the 5nm and 3nm nodes, placement or layer-to-layer vertical alignment of features will become a major industry challenge that can be primarily solved through materials engineering and self-aligned structures. EUV lithography is on the horizon for industry adoption in 2019 and beyond, and we expect 20 percent of layers to make the migration to EUV while the remaining 80 percent will use spacer multi- patterning approaches. EUV patterning also requires new materials in hardmasks/underlayer films and new etch solutions for line-edge-roughness problems.

Packaging is a key enabler for AI performance and is poised for strong growth in the coming years. Stacking DRAM chips together in a 3D TSV scheme helps bring High Bandwidth Memory (HBM) to market; these chips are further packaged with the GPU in a 2.5D interposer design to bring compute and memory together for a big increase in performance.

In 2018, we expect DRAM chipmakers to continue their device scaling to the 1Xnm node for volume production. We also see adoption of higher perfor- mance logic technologies on the horizon for the periphery transistors to enable advanced perfor- mance at lower power.

3D NAND manufacturers continue to pursue multiple approaches for vertical scaling, including more pairs, multi-tiers or new schemes such as CMOS under array for increased storage density. The industry migration from 64 pairs to 96 pairs is expected in 2018. Etch (high aspect ratio), dielectric films (for gate stacks and hardmasks) along with integrated etch and CVD solutions (for high aspect ratio processing) will be critical enabling technologies.

In summary, we see incredible inflections in new processor architectures, next-generation devices, and packaging schemes to enable the AI era. New materials and materials engineering solutions are at the very heart of it and will play a critical role across all device segments.

BY AJIT MANOCHA, President and CEO of SEMI

2017 was a terrific year for SEMI members. Chip revenues closed at nearly $440B, an impressive 22 percent year- over-year growth. The equipment industry surpassed revenue levels last reached in the year 2000. Semicon- ductor equipment posted sales of nearly $56B and semiconductor materials $48B in 2017. For semiconductor equipment, this was a giant 36 percent year-over-year growth. Samsung, alone, invested $26B in semiconductor CapEx in 2017 – an incredible single year spend in an incredible year.

MEMS and Sensors gained new growth in telecom and medical markets, adding to existing demand from automotive, industrial and consumer segments. MEMS is forecast to be a $19B industry in 2018. Flexible hybrid electronics (FHE) is also experiencing significant product design and functionality growth with increasing gains in widespread adoption.

No longer isa single monolithic demand driver propelling the electronics manufacturing supply chain. The rapidly expanding digital economy continues to foster innovation with new demand from the IoT, virtual and augmented reality (VR/AR), automobile infotainment and driver assistance, artificial intelligence (AI) and Big Data, among others. With the explosion in data usage, memory demand is nearly insatiable, holding memory device ASPs high and prompting continued heavy investment in new capacity.

2018 is forecast to be another terrific year. IC revenues are expected to increase another 8 percent and semiconductor equipment will grow 11 percent. With diverse digital economy demand continuing, additional manufacturing capacity is being added in China as fab projects come on line to develop and increase the indigenous semiconductor supply chain.

So, why worry?

The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Can the industry sustain this growth? The electronics manufacturing supply chain has demonstrated it can generally scale and expedite production to meet the massive new investment projects. The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Talent has become a pinch point. In Silicon Valley alone, SEMI member companies have thousands of open positions. Globally, there are more than 10,000 open jobs. Attracting new candidates and developing a global workforce are critical to sustaining the pace of innovation and growth.
Data management and effective data sharing are keys to solving problems faster and making practical novel but immature processes at the leading edge. It is ironic that other industries are ahead of semiconductor manufac- turing in harnessing manufacturing data and leveraging AI across their supply chains. Without collaborative Smart Data approaches, there is jeopardy of decreasing the cadence of Moore’s Law below the 10 nm node.

EH&S is critical for an industry that now uses the majority of the elements of the periodic table to make chips – at rates of more than 50,000 wafer starts per month (wspm) for a single fab. The industry came together strongly in the 1990s to develop SEMI Safety Standards and compliance methodologies. Since then, the number of EH&S profes- sionals engaged in our industry has declined while the number of new materials has exploded, new processing techniques have been developed, and manufacturing is expanding across China in areas with no prior semicon- ductor manufacturing experience.

HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field.

To ensure we don’t slow growth, the industry will need to work together in 2018 in these three key areas:

Talent development needs to rapidly accelerate by expanding currently working programs and adding additional means to fill the talent funnel. The SEMI Foundation’s High Tech University (HTU) works globally with member companies to increase the number of high school students selecting Science, Technology, Engineering, and Math (STEM) fields – and provides orientation to the semiconductor manufacturing industry. HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field. SEMI will increase the number of HTU sessions in 2018.

Plans have already been approved by SEMI’s Board of Directors to work together with SEMI’s membership to leverage existing, and pioneer new, workforce development programs to attract and develop qualified candidates from across the age and experience spectrum (high school through university, diversity, etc.). Additionally, an industry awareness campaign will be developed and launched to make more potential candidates attracted to our member companies as a great career choice. I’ll be providing you with updates on this initiative – and asking for your involvement
– throughout 2018.

Data management is a broad term. Big Data, machine learning, AI are terms that today mean different things to different people in our supply chain. What is clear is that to act together and take advantage of the unimaginable amounts of data being generating to produce materials and make semiconductor devices with the diverse equipment sets across our fabs, we need a common understanding of the data and potential use of the data.

In 2018, SEMI will launch a Smart Data vertical application platform to engage stakeholders along the supply chain to produce a common language, develop Standards, and align expectations for sharing data for mutual benefit. Bench- marking of other industries and pre-competitive pilot programs are being proposed to learn and, here too, we need the support and engagement of thought leaders throughout SEMI’s membership.

EH&S activity must intensify to maintain safe operations and to eliminate business interruptions from supply chain disruptions. There is potential for disruptions from material bans such as the Stockholm Convention action on PFOA and arising from the much wider range of chemicals and materials being used in advanced manufacturing. Being able to reliably identify these in time to guide and coordinate industry action will take a reinvigorated SEMI EH&S stewardship and membership engagement.

As China rapidly develops new fabs in many provinces – some with only limited prior experience and infrastructure – SEMI EH&S Standards orientation and training will accelerate the safe and sustainable operation of fabs, enabling them to keep pace with the ambitious growth trajectory our industry is delivering. In 2018, we’ll be looking for a renewed commitment to EH&S and sustainability for the budding challenges of new materials, methods, and emerging regions.

Remarkable results from a remarkable membership

Thank you all for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

In a quick review of 2017, I would like to thank SEMI’s members for their incredible results and new revenue records. Foundational to that, SEMI’s members have worked together with SEMI to connect, collaborate, and innovate to increase growth and prosperity for the industry. These founda- tional contributions have been in expositions, programs, Standards, market data, messaging (communications), and workforce development (with HTU).

The infographic below captures these foundational accom- plishments altogether. SEMI strives to speed the time to better business results for its members across the global electronics manufacturing supply chain. To do so, SEMI is dependent upon, and grateful for, the support and volunteer efforts of its membership. Thank you for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

A new progress in the scaling of semiconductor quantum dot based qubit has been achieved at Key Laboratory of Quantum Information and Synergetic Innovation Center of Quantum Information & Quantum Physics of USTC. Professor GUO Guoping with his co-workers, XIAO Ming, LI Haiou and CAO Gang, designed and fabricated a quantum processor with six quantum dots, and experimentally demonstrated quantum control of the Toffoli gate. This is the first time for the realization of the Toffoli gate in the semiconductor quantum dot system, which motivates further research on larger scale semiconductor quantum processor. The result was published as ‘Controlled Quantum Operations of a Semiconductor Three-Qubit System ‘ (Physical Review Applied 9, 024015 (2018)).

This is the Toffoli Gate in a three-qubit system. Credit: University of Science and Technology of China

This is the Toffoli Gate in a three-qubit system. Credit: University of Science and Technology of China

Developing the scalable semiconductor quantum chip that is compatible with modern semiconductor-techniques is an important research area. In this area, the fabrication, manipulation and scaling of semiconductor quantum dot based qubits are the most important core technologies. Professor GUO Guoping’s group aims to master these technologies and has been devoted to this area for a long time. Before the demonstration of the three-qubit gate, they have realized ultrafast universal control of the charge qubit based on semiconductor quantum dots in 2013(Nature Communications. 4:1401 (2013)), and achieved the controlled rotation of two charge qubits in 2015(Nature Communications. 6:7681 (2015)).

The Toffoli gate is a three-qubit operation that changed the state of a target qubit conditioned on the state of two control qubits. It can be used for universal reversible classical computation and also forms a universal set of qubit gates in quantum computation together with a Hadamard gate. Furthermore, it is a key element in quantum error correction schemes. Implementation of the Toffoli gate with only single- and two-qubit operations requires six controlled-NOT gates and ten single-qubit operations.

As a result, a single-step Toffoli gate can reduce the number of quantum operations dramatically, which can break the limit of coherence time and improve the efficiency of quantum computing. Researchers from Guo’s group found the T-shaped six quantum dot architecture with openings between control qubits and the target qubit can strengthen the coupling between qubits with different function and minimize it between qubits with the same function, which satisfies the requirements of the Toffoli gate well. Using this architecture with optimized high frequency pulses, researchers demonstrated the Toffoli gate in semiconductor quantum dot system in the world for the first time, which paves the way and lays a solid foundation for the scalable semiconductor quantum processor.

The reviewer spoke highly of this work, and thought this is an important progress in the field of semiconductor quantum dot based quantum computing.”The work is detailed and clearly demonstrates a high level of experimental technique and would be of high interest to people working in the field of electrostatically defined quantum dots for quantum computation”.

 

Researchers have developed an imaging technique that uses a tiny, super sharp needle to nudge a single nanoparticle into different orientations and capture 2-D images to help reconstruct a 3-D picture. The method demonstrates imaging of individual nanoparticles at different orientations while in a laser-induced excited state.

The findings, published in The Journal of Chemical Physics, brought together researchers from the University of Illinois and the University of Washington, Seattle in a collaborative project through the Beckman Institute for Advanced Science and Technology at the U. of I.

Nanostructures like microchip semiconductors, carbon nanotubes and large protein molecules contain defects that form during synthesis that cause them to differ in composition from one another. However, these defects are not always a bad thing, said Martin Gruebele, the lead author and an Illinois chemistry professor and chair.

“The term ‘defect’ is a bit of a misnomer,” Gruebele said. “For example, semiconductors are manufactured with intentional defects that form the ‘holes’ that electrons jump into to produce electrical conductivity. Having the ability to image those defects could let us better characterize them and control their production.”

As advances in technology allow for smaller and smaller nanoparticles, it is critical for engineers to know the precise number and location of these defects to assure quality and functionality.

The study focused on a class of nanoparticles called quantum dots. These dots are tiny, near-spherical semiconductors used in technology like solar panels, live cell imaging and molecular electronics – the basis for quantum computing.

The team observed the quantum dots using a single-molecule absorption scanning tunneling microscope fitted with a needle sharpened to a thickness of only one atom at its tip. The needle nudges the individual particles around on a surface and scans them to get a view of the quantum dot from different orientations to produce a 3-D image.

The researchers said there are two distinct advantages of the new SMA-STM method when compared with the current technology – the Nobel Prize-winning technique called cryogenic electron tomography.

For a video related to this research can be found here.

“Instead of an image produced using an average of thousands of different particles, as is done with CryoET, SMA-STM can produce an image from a single particle in about 20 different orientations,” Gruebele said. “And because we are not required to chill the particles to near-absolute zero temperatures, we can capture the particles at room temperature, not frozen and motionless.”

The researchers looked at semiconductor quantum dots for this study, but SMA-STM can also be used to explore other nanostructures such as carbon nanotubes, metal nanoparticles or synthetic macromolecules. The group believes the technique can be refined for use with soft materials like protein molecules, Gruebele said.

The researchers are working to advance SMA-STM into a single-particle tomography technique, meaning that they will need to prove that method is noninvasive.

“For SMA-STM to become a true single-particle tomography technique, we will need to prove that our nudges do not damage or score the nanoparticle in any way while rolled around,” Gruebele said. “Knocking off just one atom can fundamentally alter the defect structure of the nanoparticle.”

Despite slower demand from end market and panel price erosion, the large thin-film transistor (TFT) display market expanded in 2017 in all three aspects — unit shipments, area shipments and revenue. According to a new report from business information provider IHS Markit (Nasdaq: INFO), unit shipments of larger than 9-inch TFT displays increased by 4 percent in 2017 compared to a year ago, while area shipments rose 6 percent and revenues up 13 percent during the same period.

“Revenue growth was higher than that of area shipments, which was again bigger than that of unit shipments. This indicates that the display market is moving to larger screens in all applications, and the penetration of high specification products with a higher price tag, such as high resolution, wide viewing angle and slim design panels, has increased,” said Robin Wu, principal analyst at IHS Markit. Large TFT display revenues reached $63.7 billion in 2017, according to the latest Large Area Display Market Tracker by IHS Markit.

02.13.18_large_display_area_shipments 02.13.18_large_display_unit_shipments

By area shipments, TV displays, which grew 6 percent year over year, accounted for 78 percent of total large TFT display market, leading the overall market growth. Despite ongoing decline in TV panel prices, which started in the middle of 2017, revenue continued to grow by a double digit as panel makers have focused on high-end products, such as 4K TVs and 55-inch-and-larger TVs. Shipments of 4K TV panels amounted to 92 million units in 2017, up 46 percent year over year, making up 35 percent of the entire TV display market. OLED TV panels also continued its growth, marking unit shipments of 1.8 million with a 102 percent growth from 2016.

BOE led the large TFT display market with a 21 percent share in 2017 in terms of unit shipments, followed by LG Display with 20 percent and Innolux with 16 percent. It was the first time that a Chinese panel maker took the top position in an annual base result. However, in the TV panel market by unit shipments, LG Display retained its lead with a 19 percent share, followed by BOE with 17 percent. In terms of area shipments, South Korean panel makers remained strong, with LG Display accounting for 23 percent and Samsung Display for 17 percent.

The Large Area Display Market Tracker by IHS Markit provides information about the entire range of large display panels shipped worldwide and regionally, including monthly and quarterly revenues and shipments by display area, application, size and aspect ratio for each supplier.

Universal Display Corporation (Nasdaq:OLED) today announced the signing of long-term OLED material supply and license agreements with Samsung Display Co., Ltd. (SDC), a global display manufacturer. These agreements affirm that Universal Display will continue to supply its proprietary UniversalPHOLED phosphorescent OLED materials and technology to Samsung Display for use in its OLED displays. The agreements are scheduled to run through December 31, 2022, and may be extended for an additional two-year period. Financial terms of the agreements have not been disclosed.

“We are pleased to announce the signing of these long-term agreements with our partner Samsung Display,” said Steven V. Abramson, President and Chief Executive Officer of Universal Display Corporation. “Collaborating for over eighteen years, Samsung Display has been at the forefront of the OLED revolution with its vibrant, colorful, and brilliant displays. SDC’s ground-breaking innovation and manufacturing leadership are paving new pathways of growth in the display industry, including the advent of new form factors. As Samsung continues expanding its OLED product roadmap and investments, we look forward to supporting its advancements with our highly-efficient, high-performing proprietary OLED technologies and UniversalPHOLED materials.”

Engineers at Rutgers University-New Brunswick and Oregon State University are developing a new method of processing nanomaterials that could lead to faster and cheaper manufacturing of flexible thin film devices – from touch screens to window coatings, according to a new study.

The “intense pulsed light sintering” method uses high-energy light over an area nearly 7,000 times larger than a laser to fuse nanomaterials in seconds. Nanomaterials are materials characterized by their tiny size, measured in nanometers. A nanometer is one millionth of a millimeter, or about 100,000 times smaller than the diameter of a human hair.

The existing method of pulsed light fusion uses temperatures of around 250 degrees Celsius (482 degrees Fahrenheit) to fuse silver nanospheres into structures that conduct electricity. But the new study, published in RSC Advances and led by Rutgers School of Engineering doctoral student Michael Dexter, showed that fusion at 150 degrees Celsius (302 degrees Fahrenheit) works well while retaining the conductivity of the fused silver nanomaterials.

The engineers’ achievement started with silver nanomaterials of different shapes: long, thin rods called nanowires in addition to nanospheres. The sharp reduction in temperature needed for fusion makes it possible to use low-cost, temperature-sensitive plastic substrates like polyethylene terephthalate (PET) and polycarbonate in flexible devices, without damaging them.

“Pulsed light sintering of nanomaterials enables really fast manufacturing of flexible devices for economies of scale,” said Rajiv Malhotra, the study’s senior author and assistant professor in the Department of Mechanical and Aerospace Engineering at Rutgers-New Brunswick. “Our innovation extends this capability by allowing cheaper temperature-sensitive substrates to be used.”

Fused silver nanomaterials are used to conduct electricity in devices such as radio-frequency identification (RFID) tags, display devices and solar cells. Flexible forms of these products rely on fusion of conductive nanomaterials on flexible substrates, or platforms, such as plastics and other polymers.

“The next step is to see whether other nanomaterial shapes, including flat flakes and triangles, will drive fusion temperatures even lower,” Malhotra said.

In another study, published in Scientific Reports, the Rutgers and Oregon State engineers demonstrated pulsed light sintering of copper sulfide nanoparticles, a semiconductor, to make films less than 100 nanometers thick.

“We were able to perform this fusion in two to seven seconds compared with the minutes to hours it normally takes now,” said Malhotra, the study’s senior author. “We also showed how to use the pulsed light fusion process to control the electrical and optical properties of the film.”

Their discovery could speed up the manufacturing of copper sulfide thin films used in window coatings that control solar infrared light, transistors and switches, according to the study. This work was funded by the National Science Foundation and The Walmart Manufacturing Innovation Foundation.

The 2018 FLEXI Awards today recognized groundbreaking accomplishments in the Flexible Hybrid Electronics (FHE) sector in 2017. Presented at the opening session of the 17th annual 2018FLEX Conference and Exhibition, in Monterey, California, the awards spotlighted the following leaders in the categories of R&D Achievements, Product Innovation and Commercialization, Education Leadership and Industry Leadership.

Product Innovation – E Ink, creator of Dazzle, the world’s largest electronic paper installation, won a FLEXI for product design and ingenuity, and potential market adoption and revenue generation. Made from electrophoretic display technology, the programmable art installation adorns one side of San Diego International Airport’s new rental car center.

R&D Achievement – The Wearable Device for Dynamic Assessment of Hydration team – consisting of GE Global Research, UES, The University of Arizona, University of Connecticut, University of Massachusetts Amherst, Dublin City University and AFRL – won a FLEXI for developing a paper-based biofluid patch that collects sweat for human hydration index monitoring. Award criteria included research approach, originality and commercial potential for expanding the bounds of flexible or printed electronics.

Technology Leadership In Education – James Turner, research scientist at Binghamton University, won a FLEXI for outstanding leadership and attention to mentoring students during the development of an FHE electrocardiography (ECG) patch. Turner led a group of students through the development which included a multi-disciplinary approach as well as coordination with industry and several academic institutions to correlate reliability data, simulations and optimize design features of the revolutionary patch.

Industry Leadership – David Morton, formerly with the Army Research Laboratory, won a FLEXI for his dedication to building awareness of advanced flexible hybrid electronics in the broader field of electronics. Award criteria include outstanding leadership in public forums and contributions to industry associations.

Technology Champion – Robert Reuss, former program manager in the Microsystems Technology Office at DARPA, won a FLEXI for his extraordinary dedication to growing the flexible electronics industry, early recognition of the impact of large area electronics and strong contributions to helping build the FLEX Conference.

FLEXIs have been the industry’s premier award for distinguished organizations and individuals since 2009. See full list of awardees. The FLEXI Awards are sponsored by FlexTech, a SEMI Strategic Association Partner, an organization dedicated to the success of the FHE sector. The 2018 FLEXI award ceremony was sponsored by SCREEN Holdings.

2018FLEX – February 12-15 in Monterey, California – spotlights FHE innovation drivers in smart medtech, smart transportation, smart manufacturing, smart data, Internet of Things (IoT) and consumer electronics.

Total shipments of mobile phone displays, including thin-film transistor liquid crystal display (TFT LCD) and active matrix organic light-emitting diode (AMOLED) panels, reached 2.01 billion units in 2017, up 3 percent from 2016, according to preliminary estimate from business information provider IHS Markit (Nasdaq: INFO).

In the growing mobile phone display market, shipments of low-temperature-poly-silicon (LTPS) TFT LCD panels, which realize high-resolution images, increased by 21 percent to 620 million units in 2017 compared to the previous year. Shipments of amorphous silicon (a-Si) TFT LCD mobile phone panels declined 4 percent to 979 million units during the same period. Even though shipments of AMOLED panels jumped in the second half of 2017 thanks to the launch of the iPhone X, combined with the weak demand in the first half, its shipments were up just 3 percent to 402 million units in 2017.

In the smartphone-use LTPS TFT LCD market, Tianma, a leading small and medium panel supplier in China, has shown significant growth, expanding its shipments to Chinese smartphone set brands, such as Huawei and Xiaomi. In 2017, Tianma shipped 105 million LTPS TFT LCD panels for smartphones, almost double its shipments in 2016, with a market share of 17 percent, up 6 percentage points from 2016. It ranked the second largest LTPS TFT LCD supplier for smartphones in 2017, taking over LG Display with 16 percent, down 4 percentage points, and Sharp with 13 percent, down 1 percentage point. In 2017, Japan Display continued its market leader position but shed its share by 10 percentage points to 26 percent in 2017, according to the latest Smartphone Display Intelligent Service report by IHS Markit.

02.08.18_smartphone_panel_shipment_share

“LTPS TFT is a key technology to produce high-resolution displays for smartphones, and experience is required to optimize highly complex LTPS manufacturing process in each production fab. In terms of experience, Japanese and South Korean panel makers have a competitive advantage compared to Chinese makers,” said Hiroshi Hayase, senior director at IHS Markit. “However, Chinese LCD makers, such as Tianma and BOE, are catching up LTPS technology fast enough to support high demand from Chinese smartphone set makers.”

The Smartphone Display Intelligent Service by IHS Markit contains quarterly updates of smartphone display shipments and revenue by application, size, resolution and technology. It also provides supply chain information between display and set makers, as well as monthly smartphone display shipment and pricing information.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced the global semiconductor industry posted sales totaling $412.2 billion in 2017, the industry’s highest-ever annual sales and an increase of 21.6 percent compared to the 2016 total. Global sales for the month of December 2017 reached $38.0 billion, an increase of 22.5 percent over the December 2016 total and 0.8 percent more than the previous month’s total. Fourth-quarter sales of $114.0 billion were 22.5 percent higher than the total from the fourth quarter of 2016 and 5.7 percent more than the third quarter of 2017. Global sales during the fourth quarter of 2017 and during December 2017 were the industry’s highest-ever quarterly and monthly sales, respectively. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

Worldwide semiconductor revenues, year-to-year percent change

Worldwide semiconductor revenues, year-to-year percent change

“As semiconductors have become more heavily embedded in an ever-increasing number of products – from cars to coffee makers – and nascent technologies like artificial intelligence, virtual reality, and the Internet of Things have emerged, global demand for semiconductors has increased, leading to landmark sales in 2017 and a bright outlook for the long term,” said John Neuffer, SIA president and CEO. “The global market experienced across-the-board growth in 2017, with double-digit sales increases in every regional market and nearly all major product categories. We expect the market to grow more modestly in 2018.”

Several semiconductor product segments stood out in 2017. Memory was the largest semiconductor category by sales with $124.0 billion in 2017, and the fastest growing, with sales increasing 61.5 percent. Within the memory category, sales of DRAM products increased 76.8 percent and sales of NAND flash products increased 47.5 percent. Logic ($102.2 billion) and micro-ICs ($63.9 billion) – a category that includes microprocessors – rounded out the top three product categories in terms of total sales. Other fast-growing product categories in 2017 included rectifiers (18.3 percent), diodes (16.4 percent), and sensors and actuators (16.2 percent). Even without sales of memory products, sales of all other products combined increased by nearly 10 percent in 2017.

Annual sales increased substantially across all regions: the Americas (35.0 percent), China (22.2 percent), Europe (17.1 percent), Asia Pacific/All Other (16.4 percent), and Japan (13.3 percent). The Americas market also led the way in growth for the month of December 2017, with sales up 41.4 percent year-to-year and 2.1 percent month-to-month. Next were Europe (20.2 percent/-1.6 percent), China (18.1 percent/1.0 percent), Asia Pacific/All Other (17.4 percent/0.2 percent), and Japan (14.0 percent/0.9 percent).

“A strong semiconductor industry is foundational to America’s economic strength, national security, and global technology leadership,” said Neuffer. “We urge Congress and the Trump Administration to enact polices in 2018 that promote U.S. innovation and allow American businesses to compete on a more level playing field with our counterparts overseas. We look forward to working with policymakers in the year ahead to further strengthen the semiconductor industry, the broader tech sector, and our economy.”