Category Archives: EULV

Dr. Vivek Bakshi blogs about EUV Lithography (EUVL) and related topics of interest. He has edited two books on EUVL and is an internationally recognized expert on EUV Source Technology and EUV Lithography. He consults, writes, teaches and organizes EUVL related workshops. WWW.euvlitho.com

The 2012 Source Workshop was held Oct. 8-11 in Dublin, Ireland, in the Clinton Auditorium on the campus of University College Dublin. This is the industry’s largest annual gathering of EUV and soft X-ray source experts, who took the opportunity to discuss the latest results from their labs.

A keynote talk was given by Akira Endo of Waseda University and the HiLASE project. He focused on identifying technology areas that need immediate development to enable current sources of 100 to 250 W. These areas include droplet generation at 150 kHz via electrostatic acceleration; 500 W solid state lasers with picosecond pulses and mJ energy for pre- pulse; and the ability to focus on 10 µm droplets. He also outlined a roadmap for 1000 W source at 13.5 nm and 6.x nm.

Dr. Endo also identified other important focus areas, including:

  • Tin vapor control for better EUV collection efficiency. He said ionic debris can be controlled via magnetic field, and proposed controlling neutral debris with laser resonant ionization of Sn.

  • Scaling of lasers to high power will need 25 kW CO2 laser modules. One of the toughest challenges in developing such lasers is windows, although diamond windows may be the answer.

Vadim Banine of ASML (the EUVL scanner-maker that recently acquired EUV source supplier Cymer) outlined the state of source technology and a path to 1000 W sources. He also listed top areas that need R&D work to enable power scaling for sources utilizing discharge-produced plasma (DPP) and laser-produced plasma (LPP). Describing the current status of tin-based LPP and DPP sources, Dr. Banine said Sn LPP has demonstrated 50 W average power at 80% duty cycle, along with scaling to 158 W at 3% duty cycle.

As for Sn DPP, Jeroen Jonkers of Ushio said 74 W power is possible today at intermediate focus (IF) in burst mode for a one-hour run. Dr. Jonkers elaborated on this data and presented a development area that may allow DPP to scale to 250 W. Konstantin, et al. presented results on ISAN’s new DPP design to potentially scale up to even higher power than Ushio’s design. Such concepts need to be further investigated to enable power scaling of DPP-based sources.

Although the roadmaps for plasma sources are rather clear, we know that the goals for 1000 W scaling of EUV sources are not easily attainable. After all, suppliers are still working hard to ready 100 W sources with reliable performance.

It was noted in various presentations that scaling of power for beyond EUV (BEUV) sources may be even harder. The potential of free electron laser (FEL) based sources for delivering 1.7 kW of BEUV photons at 6.x nm was discussed in a paper by Diana Tuerke of Carl Zeiss. Source design was presented for a 3 MHz, 1.7 kW, and facility costing 200 M Euro, with annual operational cost of 20 M Euro.

Coherent sources are typically not used for lithography due to large loss in the process of making the beam incoherent. However, it was very interesting to hear Zeiss mention that they developed an invention allowing them to use all coherent light without loss! This was exciting news indeed, as it may further open doors to the feasibility of coherent sources for lithography.

Highlights of workshop

Ulrich Mueller of Carl Zeiss presented the source requirements for mask defect AIMS tools. For their tools, high source stability is required :  <0.3% for position and <3.5 % for energy in pulse to pulse. Sources will need brightness of > 30W/mm2sr with a target of 100/mm2sr.  Currently they have sources of 8 W/mm2sr for tool development.

Klaus Bergmann of ILT showed the champion data for his Xe DPP source for metrology, with brightness of 21 W/mm2sr, operation frequency of 3.3 KHz, conversion efficiency (CE) of 0.35 and source radius of 155 mm for 20 kW input. He sees potential scaling to >50 W/mm2sr with a maximum limiting value of 71 W/mm2sr.

Steve Horne of Energetiq proposed a 100 W/mm2sr high frequency xenon Z-pinch DPP source for mask metrology. He thinks that the physics can be tested in six months – and if successful, the system can be built within 2 years. Cost of this new system would be similar to that of the present system in the field.

Paul Sheridan of NewLambda Technology described his LPP source as having a CE >1% at 45 degree viewing angle, and source size of 250 x 400 mm2 at intermediate focus (IF). For 1,000 hours of operation, he measured 80 W/mm2sr brightness. For his source, at stability at IF is 7% in position and 8% in size.

Larissa Juschkin of RWTH presented theoretical calculations for estimating source brightness requirements for EUV Microscopes.

Sergey Zakharov of EPPRA revealed a "plasma lens" design for the capillary discharge Xe DPP source. The workings of this discharge produced focused EUV beam had been the subject of speculation in the past, and Sergey finally described it for us!

Igor Makhotkin from FOM Institute DIFFER provided the results of using BEUV optics to support EUV lithography at 6.x nm.  Reflectivity for LaN/B based multilayer mirrors, the material of choice for BEUV optics, was reported to be 53.6% for normal incidence and 175 periods of multilayers. So far, this is the highest experimental value reported for these mirrors.

Leonid Sjmaenok of PhysTeX presented Zr filters with one pass transmission of 84% for 25 nm thickness and 80 mm aperture frames. Such filters, he noted, now have no more than a 2 degree max deviation from flatness. These filters will be key elements key to controlling out of band radiation and debris in sources.

For BEUV lithography sources, Takeshi Higashiguchi of Utsunomiya University proposed a mixed complex target of Gd and Tb for 6.x nm photons. He proposed punch out targets (mist) for Gd, as droplet generation is very difficult due to the high melting point of Gd. He also suggested phosphorus as a candidate material for BEUV sources.

Soft X-ray (SXR) sources

The Workshop has been successful in bringing together a large gathering of source experts by inviting technologists from the EUV (13.5 nm), BEUV (6.x nm) and Soft X-ray (~ 1- 50 nm) regions. Due to a lack of funding for research on EUV sources (despite its being the #1 issue in EUVL), many source experts now work on non-lithography applications of EUV and SXR sources. In widening the scope of the Workshop, we were able to attract EUV source experts who could give us good insights on EUV source development, even though their work may not be focused on lithography.

Two of the keynote presenters for the Workshop were global leaders in soft X-ray source technology. They focused their talks on SXR sources and their potential for non-lithographic applications. Prof. Jorge Rocca of Colorado State University talked about desktop EUV laser and its applications. Prof. Alan Michette of King’s College London discussed biological applications of soft X-ray sources.

The Workshop also had many other excellent, oral presentations and poster sessions on SXR sources and their applications.

M. Selin of KTH Royal Institute revealed a high-brightness liquid-jet laser-plasma source that enables 10 second exposure for water-window cryo microscopy. He claimed that its brightness of 1.5 x 1012 photons/ (second x mm2 x mrad2 x line), is the highest operating in a lab today. He said the 10s exposures that are now possible with the new system make this microscope comparable to microscopy based on early synchrotron sources.

James Evans of Pacific Northwest National Lab and University of California at Davis presented "Whole Cell Cryogenic Soft X-ray Tomography" with a laboratory light source from Energetiq. He pointed out that soft X-ray tomography of whole cells is now available commercially and said he is working on improved zone plates to get better resolution. A new standalone, higher brightness non- plasma source with a small footprint is planned. I think such non-plasma based sources may have potential EUVL applications, and I plan to investigate the feasibility of such sources.

Summary

The 2012 Source Workshop succeeded in its objective to bring together more than 80 source R&D experts for discussions and updates. We came away with a list of topics that need focus for scaling sources for current and future generation technology. The virtual lack of sales pitches may have induced participants to let down their guard a bit among colleagues to acknowledge the problems we still face today, while celebrating the progress made since last year’s Workshop in Dublin. The proceedings of this workshop are available for download at www.euvlitho.com.  If your business is EUV or SXR sources, you won’t want to miss our next Source Workshop on Nov. 4-7, 2013, in Dublin.