Category Archives: LED Packaging and Testing

Ultratech, Inc., a supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HBLEDs), as well as atomic layer deposition (ALD) systems, today announced that it has received a multiple-system, follow-on order from a leading semiconductor manufacturer for its advanced packaging AP300 lithography systems. The AP300 systems will be utilized for high-volume, fan-out wafer-level packaging (FOWLP) applications used to manufacture leading-edge chips.  Ultratech will begin shipping the additional systems in the second quarter of this year to the customer’s facility in Asia.

Ultratech General Manager and Vice President of Lithography Products Rezwan Lateef stated, “Fan-out technologies continue to be regarded as the optimal solution for the highly-demanding mobile and wireless markets. While traditional 3D techniques, such as TSV, are still too expensive for industry-wide adoption, FOWLP is being leveraged as a cost-effective packaging solution that delivers excellent performance and a small form factor. Ultratech’s application-specific options for FOWLP lithography provide superior results to meet the challenges of fan-out wafer processing, such as die surface-to-mold non-planarity, die misalignment and wafer warpage. This follow-on order further confirms our technology leadership and the value proposition of our AP300 systems over full-field 1X scanners and reduction steppers. As we continue to build on our relationship with this valued customer, we look forward to supporting their aggressive technology roadmap which includes the utilization of interposers for high-end processors.”

Ultratech’s AP300 family of lithography steppers

The AP300 family of lithography systems is built on Ultratech’s customizable Unity Platform, delivering superior overlay, resolution and side wall profile performance and enabling highly-automated and cost-effective manufacturing. These systems are particularly well suited for copper pillar, fan-out, through-silicon via (TSV) and silicon interposer applications. In addition, the platform has numerous application-specific product features to enable next-generation packaging techniques, such as Ultratech’s award winning dual-side alignment (DSA) system, utilized around the world in volume production.

Today, SiC benefits are not a secret anymore and progressively lot of industries are considering the development of new products including SiC technologies.

”The SiC power business is concrete and real, with a promising outlook,” announced Yole Développement (Yole) in its latest compound semiconductor report, Power SiC 2016: Materials, Devices, Modules & Applications. The SiC power market, diode and transistor included is estimated to be more than $200 million in 2015 and forecasted to be more than $550 million in 2021, with a 2015 – 2021 CAGR of 19%. SiC diodes still dominate the overall SiC market with 85% market share. According to Yole, this leading position will not change for several years. In parallel, SiC transistors are more and more present and should reach 27% market share in 2021. SiC solutions are diffusing step by step into multiple application segments: “We are at the opening stage of the SiC industry for power electronics applications,” confirmed Yole’s analysts.

This SiC technology & market analysis is not the first edition for Yole. Therefore, the “More than Moore” market research and strategy consulting company has been working for fifteen years on SiC technologies, associated markets and more globally within the WBG area. This year, this report is probably the most successful achievement with a global comprehension of the market needs and technology challenges.

Yole’s analysis details a relevant description of the SiC power industry landscape and lists the key related market data. It also proposes a detailed review per market segment, a full analysis of the SiC supply chain including new entrants, mergers and acquisitions and a technology roadmap. A special section has been also performed by Yole’s analysts to understand the current issues in China and identify business opportunities. With this 2016 edition, Yole confirms its leadership within the analysis of the WBG industry, its technologies and market trends.

Not surprisingly, the PFC power supply market is still the leading application with almost 50% market share (in revenue), consuming a large volume of diodes in 2015. However this market share is expected to decrease little by little after 2016. So far behind, PV inverters are close behind. Indeed SiC diodes and MOSFETs are now used by various PV inverter manufacturers in their products. It has been confirmed that SiC implementation provides several performance benefits including increased efficiency, reduced size and weight. In addition, it allows to low cost at the system level in certain power range. “At Yole, we have received increasingly positive feedback from the market”, said Dr. Hong Ling, Technology & Market Analyst at Yole. “And we expect other manufacturers to follow in the footsteps of the early adopters, leading to a rapid expansion of the PV segment in the coming years.”

Other SiC applications include UPS , motor drive, wind, EV/HEV and rail, all with different levels of adoption. Within the rail sector, SiC penetration continues. For EV/HEV applications, OEMs and Ter1 are testing SiC devices but qualification time is long…

The benefits enabled by SiC, the continuous performance improvement, and the cost erosion of SiC power devices will clearly fuel the implementation of SiC in different applications. “Under this new SiC edition, we propose a deep understanding of SiC implementation in different segments”, comments Dr Ling at Yole. Indeed this analysis offers a comprehensive summary of SiC power device market data (split by application), including PFC/power supply, PV, EV/HEV, uninterruptible power supplies (UPS), motor drives, wind, and rail.

SiC power is creating many opportunities for many different types of suppliers. Indeed, attracted by the market’s potential, more and more players are entering at different levels of the value chain:
•  At the module packaging level, Starpower just showed their SiC module in May 2016.
•  At the device level, after investing in Monolith Semiconductors in 2015, Littlefuse released its SiC diode products in May this year, with the intention to develop a full product range. Yole has also identified other newcomers including Brückewell, YangJie Technology, Gengol, each with different backgrounds and different business models.
•  On the materials side, Aymont, the SiC growth furnace supplier, has started to supply SiC wafers.

Furthermore, existing players will expand their products. For example, Infineon Technologies just released its 1200V SiC MOSFET and plans to go into mass production in 2017. Also, Fuji’s full SiC module will be available. As more and more products reach the market, Yole expects an acceleration of SiC. This growing market is generating plenty of opportunities for different types of suppliers: passive components, materials suppliers, test equipment suppliers, and more.

Samsung Electronics Co., Ltd. announced today that it has introduced “Fx-CSP,” a line-up of LED packages which features chip-scale packaging and flexible circuit board technology, for use in automotive lighting applications.

New Samsung Fx-CSP automotive LED packages (Graphic: Business Wire)

New Samsung Fx-CSP automotive LED packages (Graphic: Business Wire)

“Our new Fx-CSP line-up will bring greater design flexibility and cost competitiveness to the automotive lighting industry,” said Jacob Tarn, executive vice president, LED Business Team, Samsung Electronics. He added that, “We will continue to introduce innovative LED products and technologies, such as multi-chip array technology, that can play a key role in the growth of the automotive LED lighting industry.”

Samsung’s new Fx-CSP provides an advanced combination of chip-scale packaging and flexible circuit board technology, which together enable more compact chip sizing and a higher degree of reliability. The use of a flexible circuit board also enables more heat to dissipate, which leads to lower resistance and brings about a greater degree of lumen-per-watt efficiency than using a ceramic board.

In addition, the new Samsung automotive LED line-up allows car designers to use a variety of chip arrangements such as a single chip, a 1 by 4, or a 2 by 6 multi-chip arrangement to suit different lighting configurations. The Fx-CSP line-up can be widely used in automotive lighting applications that include position lamps and daytime running lamps as well as headlamps that require higher luminous flux and reliability than other automotive lamps.

The Fx-CSP line-up consists of single packages, Fx1M and Fx1L, with 1-3 watts each, as well as packages with a 14W high voltage array, Fx4 and a 40W high voltage array, Fx2x6. The variation in wattage levels allows Samsung LED lighting packages to work well with a wide range of exterior automotive lighting.

By adding the new Fx-CSP line-up to its existing mid-power and high-power automotive LED component line-ups, Samsung now provides a highly competitive family of automotive lighting components.

Samsung’s new Fx-CSP LED line-up was recently selected for a compact car headlamp project from one of the major global automotive manufacturers.

Samsung plans to introduce more CSP technology-based LED components such as the new Fx-CSP line-up for automotive lighting, later this year.

Ultratech, Inc., a supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high­brightness LEDs (HB­ LEDs), as well as atomic layer deposition (ALD) systems, announced that its proprietary LXA nanosecond melt laser annealing technology enabled the world’s lowest contact resistivity for FinFETs in an R&D environment.  In collaboration with multiple companies, this record achievement, as well as additional results, was presented in a paper at the 2016 Symposia on VLSI Technology and Circuits held June 13-17, at the Hilton Hawaiian Village in Honolulu, Hawaii.

In the development of today’s advanced CMOS logic FinFET devices, the electrical resistance at the contact junction (contact resistance) is widely recognized to play an increasingly significant role in overall device performance. In larger device nodes, the contact pads provide a relatively large area over which to transfer electrical current. But as devices continue to shrink, so does the available area to form the contact, creating an electrical current bottleneck that reduces the performance of the device and impacts battery life. In order to realize the desired benefits of the scaled transistor architecture, including improved device performance and greater battery life, it will be necessary to make significant advancements over the current process. One emerging solution is to improve the characteristics of the contact by modifying the material properties of the contact using a unique nanosecond melt laser annealing technology. Using Ultratech’s patent pending LXA melt laser annealing technology these researchers reported world record results in contact resistance.

Yun Wang, Ph.D., Senior Vice President and Chief Technologist, Laser Processing at Ultratech, said, “The great achievement in lowering the contact resistivity for FinFETs is that it provides faster on/off switching of the transistor using the same input voltage. Since the input voltage doesn’t need to be increased to provide faster transistor switching, a low supply voltage can be maintained, which saves battery life.  The result is a FinFET transistor that operates very quickly at a lower voltage for faster performance and longer battery life. As we continue our R&D, we expect that Ultratech’s unique LXA nanosecond melt laser anneal technology will address a wide range of applications at the 7-nm and below nodes, and enable use of new materials anticipated at 5nm and below. We plan to use this record achievement as a benchmark to continue to improve our LXA technology.”

On Tuesday, June 14 at HAST, the paper by Hiroaki Niimi</span, Zuoguang Liu, Oleg Gluschenkov and others, titled, 'Sub-2×10-9 Ω‐cm2 N‐ and P-Contact Resistivity with Si:P and Ge:Ga Metastable Alloys for FinFET CMOS  Technology' was presented during Session 7 – Contact Resistance Innovations for Sub‐10nm Scaling, at the 2016 Symposia on VLSI Technology and Circuits.

Ultratech’s LXA Nanosecond Melt Laser Annealing Technology

Ultratech’s LXA technology is a proprietary technology for achieving nanosecond anneal utilizing a millisecond process in-situ with a nanosecond spike anneal to provide ultra-low thermal budget with added process flexibility for a wide range of materials and applications. The LXA technology is targeted for advanced junction formation, contact anneal, and multiple middle-of-line applications.  As more exotic materials are used for 7nm and below devices, it is expected that Ultratech’s LXA nanosecond melt laser annealing technology will play a bigger role and include wider applications in the manufacture of leading-edge transistors.

WPG Americas Inc. (WPGa) a subsidiary of WPG Holdings, announced today it has signed a new agreement with Seoul Semiconductor the world’s fifth largest LED supplier to distribute their full complete line of products. The company’s product portfolio includes a wide array of package and device choices such as AC driven LEDs, high-brightness LEDs, mid-power LEDs, side-view LEDs, through-hole type LED lamps, custom displays, UV LEDs and sensors.

“We are excited to add Seoul Semiconductor to our LED Lighting portfolio.  Seoul strengthens our total LED Lighting solutions for our customers with the addition of their highly competitive Mid-power offering and ACRICH products for direct AC applications,” said Rich Davis, President of WPG Americas Inc.

“As the LED market continues to grow, we are glad to expand our distribution channel through WPGA to reach into the vast client base in the Americas. WPGA’s strength in demand creation, solution selling and operational excellence is a huge asset that Seoulcan lean on for profitable growth,” said Kyu Uhm, Executive Vice President of World Wide Marketing at Seoul Semiconductor, Inc.

Perovskite materials have shown great promise for use in next-generation solar cells, light-emitting devices (LEDs), sensors, and other applications, but their instability remains a critical limitation.

Researchers at UC Santa Cruz attacked this problem by focusing on perovskite nanocrystals, in which the instability problems are magnified by the large surface area of the particles relative to their volume. Atoms on the surface are vulnerable to reactions that can degrade the material, so molecules that bind to the surface–called surface ligands or capping ligands–are used both to stabilize perovskite nanocrystals and to control their properties.

In a paper published June 13 in Angewandte Chemie, the UCSC researchers reported the results of experiments using unique branched ligands to synthesize perovskite nanocrystals with greatly improved stability and uniform particle size.

“This new strategy to stabilize organometal-halide perovskites is an important step in the right direction,” said corresponding author Jin Zhang, professor of chemistry and biochemistry at UC Santa Cruz. “Our hope is that this could be used not only for perovskite nanocrystals but also for bulk materials and thin films used in applications such as photovoltaics.”

Zhang’s team tested the effects of different types of capping ligands on the stability of perovskite nanocrystals. Conventional perovskite nanocrystals capped with ligands consisting of long straight-chain amines show poor stability in solvents such as water and alcohol. Zhang’s lab identified unique branched molecules that proved much more effective as capping ligands.

According to Zhang, the branching structure of the ligands protects the surface of the nanocrystals by occupying more space than straight-chain molecules, creating a mechanical barrier through an effect known as steric hindrance. “The branching molecules are more cone-shaped, which increases steric hindrance and makes it harder for the solvent to access the surface of the nanocrystals,” he said.

The researchers were able to control the size of the nanocrystals by adjusting the amount of branched capping ligands used during synthesis. They could obtain uniform perovskite nanocrystals in sizes ranging from 2.5 to 100 nanometers, with high photoluminescence quantum yield, a measure of fluorescence that is critical to the performance of perovskites in a variety of applications.

Zhang’s lab is exploring the use of perovskite nanocrystals in sensors to detect specific chemicals. He is also working with UC Santa Cruz physicist Sue Carter on the use of perovskite thin films in photovoltaic cells for solar energy applications.

Almost two years after GTAT’s bankruptcy, the sapphire industry is still there. Its decor and characters have, of course, changed but the story is still unfolding. Survival strategies, emerging applications and niche markets, mergers and acquisitions. All the protagonists are contributing to altering the landscape, trying to identify new business opportunities to absorb the sapphire overcapacity. China is a major contributor to the story with new investments and emerging companies in this already saturated industry. What is the impact on the sapphire supply chain? What are the strategies to be adopted to succeed? What are the long-term perspectives?

Figure 1

Figure 1

In this tense economic environment, Yole Développement (Yole) and its partner CIOE are organizing a 1.5 day conference to learn more about the status of the sapphire industry. The event will provide an opportunity for all the participants to discuss the future of this industry and to find answers. Sapphire is now more affordable than ever and new capabilities have enabled the manufacturing of components for very diverse applications. The 2nd International Forum on Sapphire Market & Technologies is the place to be to understand today’s economic and technical challenges and build tomorrow’s industry.

The Yole & CIOE Forum will take place from September 6 to 7 in Shenzhen, China, alongside the 18th China International Optoelectronic Expo 2016. To find out more about this event, visit: Sapphire Forum Agenda – Sapphire Forum Registration.

Figure 2

Figure 2

 The LED sector still has the highest demand for sapphire, but the expected volumes cannot sustain the one hundred or so sapphire producers currently competing in the industry.
Some sapphire companies are leaving the most commoditized markets and shifting their development strategies toward niche markets with higher added-value such as medical, industrial and military applications. Other business opportunities could materialize, including microLED arrays and other consumer applications.

Most sapphire companies are chasing any opportunity to survive and optimize their cost structure within a market which is currently characterized by a relentless price war. In Q1- 2016, the sapphire price plunged to its lowest ever level and most companies experienced a drastic decrease in revenue.

In this highly competitive market with significant economic constraints, Yole and CIOE are organizing the 2nd International Forum on Sapphire Market & Technologies (Shenzhen, China – September 6&7, 2016).

“The Sapphire Forum is an opportunity for the entire supply chain to come together to assess the current status of the industry, understand what lies ahead and determine the best strategies to make it through the crisis”, comments Dr. Eric Virey, Senior Technology & Market Analyst, Yole.

Today, SEMI announced that 19 new fabs and lines are forecasted to begin construction in 2016 and 2017, according to the latest update of the SEMI World Fab Forecast report. While semiconductor fab equipment spending is off to a slow start in 2016, it is expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

Fab equipment spending ─ including new, secondary, and in-house ─ was down 2 percent in 2015. However, activity in the 3D NAND, 10nm Logic, and Foundry segments is expected to push equipment spending up to US$36 billion in 2016, 1.5 percent over 2015, and to $40.7 billion in 2017, up 13 percent. Equipment will be purchased for existing fabs, lines that are being converted to leading-edge technology, as well as equipment going into new fabs and lines that began construction in the prior year.

Table 1 shows the regions where new fabs and lines are expected to be built in 2016 and 2017. These projects have a probability of 60 percent or higher, according to SEMI’s data. While some projects are already underway, others may be subject to delays or pushed into the following year. The SEMI World Fab Forecast report, published May 31, 2016, provides more details about the construction boom.

new fab lines

Breaking down the 19 projects by wafer size, 12 of the fabs and lines are for 300mm (12-inch), four for 200mm, and three LED fabs (150mm, 100mm, and 50mm). Not including LEDs, the potential installed capacity of all these fabs and lines is estimated at almost 210,000 wafer starts per month (in 300mm equivalents) for fabs beginning construction in 2016 and 330,000 wafer starts per month (in 300mm equivalents) for fabs beginning construction in 2017.

In addition to announced and planned new fabs and lines, SEMI’s World Fab Forecast provides information about existing fabs and lines with associated construction spending, e.g. when a cleanroom is converted to a larger wafer size or a different product type.

In addition, the transition to leading-edge technologies (as we can see in planar technologies, but also in 3D technologies) creates a reduction in installed capacity within an existing fab. To compensate for this reduction, more conversions of older fabs may take place, but also additional new fabs and lines may begin construction.

For insight into semiconductor manufacturing in 2016 and 2017 with details about capex for construction projects, fab equipping, technology levels, and products, visit the SEMI Fab Database webpage and order the SEMI World Fab Forecast Report. The report, in Excel format, tracks spending and capacities for over 1,100 facilities including over 60 future facilities, across industry segments from Analog, Power, Logic, MPU, Memory, and Foundry to MEMS and LEDs facilities.

Imagine a device that is selectively transparent to various wavelengths of light at one moment, and opaque to them the next, following a minute adjustment.

Such a gatekeeper would enable powerful and unique capabilities in a wide range of electronic, optical and other applications, including those that rely on transistors or other components that switch on and off.

In a May 20 paper in the journal Physical Review Letters, researchers in the University at Buffalo School of Engineering and Applied Sciences report a discovery that brings us one step closer to this imagined future.

A photograph (left) shows the experimental set-up used to confirm the existence of the Bloch wave resonance, which was first predicted theoretically. An illustration (right) shows the interior of the experimental device, called a hollow periodic waveguide, which consists of two corrugated metallic plates separated by a variable distance of about one inch, and the upper plate can slide with respect to the lower. When researchers shot microwaves between the plates through the air, they were able to control which wavelengths of microwaves were allowed through by varying the position of the upper plate. Credit: Lab of Victor Pogrebnyak/University at Buffalo

A photograph (left) shows the experimental set-up used to confirm the existence of the Bloch wave resonance, which was first predicted theoretically. An illustration (right) shows the interior of the experimental device, called a hollow periodic waveguide, which consists of two corrugated metallic plates separated by a variable distance of about one inch, and the upper plate can slide with respect to the lower. When researchers shot microwaves between the plates through the air, they were able to control which wavelengths of microwaves were allowed through by varying the position of the upper plate. Credit: Lab of Victor Pogrebnyak/University at Buffalo

The finding has to do with materials that are periodic, which means that they’re made up of parts or units that repeat. Crystals fall into this category, as do certain parts of the wings of butterflies, whose periodic structure helps give them color by reflecting specific colors of light.

Scientists have known since the early 20th century that periodic materials have special qualities when it comes to light. Such materials can reflect light, as butterfly wings do, and if you understand the internal structure of a periodic material, you can use an equation called Bragg’s law to determine which wavelengths will pass through the material, and which will be blocked due to reflection.

The new UB study shows that a completely periodic material structure is not needed for this kind of predictable reflection to take place.

Similar effects occur when you sandwich a non-periodic material between two boundary layers of material that have a periodic shape. This set-up will be transparent to certain wavelengths of light and opaque to others, and engineers can quickly alter which wavelengths are allowed through by simply moving one of the periodic boundaries.

Better yet, the effect not only applies to light waves, but rather to a broad range of wave phenomena that span the quantum to the continuum scale.

“We have shown that Bragg’s law is a special case of a more generalized phenomenon that was discovered in this study and named as a Bloch wave resonance,” said Victor A. Pogrebnyak, an adjunct associate professor of electrical engineering at UB. “This discovery opens up new opportunities in photonics, nanoelectronics, optics and acoustics and many other areas of science and technology that exploit band gap wave phenomena for practical use.”

“Electrons behave as waves that can also exhibit a Bloch resonance, which can be used as a powerful method to control currents in nanoelectronic circuits,” said Edward Furlani, Pogrebnyak’s co-author and a UB professor in the Departments of Chemical and Biological Engineering and Electrical Engineering.

A key advantage that Bloch wave resonance offers: It enables the blocking of a larger range of wavelengths simultaneously than previously known effects described by Bragg’s law.

Applications that could take advantage of this broader “band gap” range include white light lasers and a new type of fast-switching transistor.

The car is not a simple mode of transportation anymore. In addition to security and autonomous driving features, car manufacturers are considering more and more functionalities to propose vehicles as custom and fashion item.

During the last few years, electronic, optoelectronic, software and various digital technologies along with societal changes are increasingly pressuring the automotive players in transforming offerings and business models faster than ever before. Under this context, automotive OEM firms remain focused on core competencies and also develop new ones. Lighting technologies are part of them.
The lighting market for automotive applications should reach a 23.7% compound annual growth rate (CAGR) 2015-2121 reaching a US$27.7 billion market in 2021, announces Yole Développement (Yole) in its latest LED report entitled “Automotive Lighting: Technology, Industry and Market trends”. The increasing role of design and the introduction of new functionalities including ambient light, rear light, turn signal, parking & day ruing lights, fog light, low/high beam light and more are the reasons of this success. But what are the companies behind this impressive growth? What will be the impact on the supply chain? LED, OLED – which technologies are today able to answer to the market needs? The market research and strategy consulting offers today its vision of this industry.

With this new technology & market analysis, the “More than Moore” company, Yole investigates the attractive world of lighting solutions for automotive applications. The automotive lighting report from Yole analyzes the status of the market and its applications. It reviews the structure of the automotive lighting industry and details the market and technology trends. Under this new analysis, Yole’s experts present the main lighting technologies developed for automotive applications and propose valuable roadmaps until 2021. They cover the whole supply chain from devices to systems and give market insights between 2013 and 2021.

With the recent integration of LED technology, lighting has evolved from a basic, functional feature to a distinctive feature with high-value potential in automotive. Indeed, LED technology has given manufacturers the opportunity for strong differentiation via lighting design and additional functionalities. This is particularly true for exterior lighting, but it is also spreading to interior lighting. These changes are heavily impacting the supply chain, with new suppliers and a new value chain emerging.

In 2015, the automotive lighting market totaled nearly US$22.4 billion, up 5.4% from 2014. “This growth was driven by increased lighting system content per vehicle and a more favorable product mix driven by strong adoption of LED-based front lighting systems,” says Pars Mukish, Business Manager, LED, OLED and sapphire activities at Yole. Indeed, headlamp and DRL systems represented 43% and 28% of total 2015 revenue, respectively. Other lighting systems including rear combination light/center high-mounted signal light, interior light, and side turn-signal light comprised the remaining 29% of 2015 revenue. According to Yole’s analysts, the automotive lighting market will continue growing, reaching a market size of almost US$27.7 billion by 2021 – +23.7% compared to 2015, and driven by different growth areas:
• Short-term: increased LED technology penetration rate into different automotive lighting applications/systems, and increased lighting content per vehicle.
• Middle/long-term: potential integration of new lighting technologies like OLED and laser, development of AFLS and other security functions, and incredible developments employing lighting as a new design feature.

automotive lighting industry

“From a geographic point of view, Asia is the largest market for automotive lighting systems, reflecting the trends in term of vehicle production location but with higher share of revenue from Europe due to more favorable product mix in this area,” explains Pierric Boulay, Technology & Market Analyst at Yole. However European and Japanese companies dominate and supply together 81% of the market:
• Koito, Stanley and Ichikoh capture 40% of the revenue
• From an European side, Yole’s analysts announce 13-14% market share for each key European players: Magneti Marelli, Hella and Valeo.

Yole’s report presents all automotive lighting applications and the associated market revenue for the period 2013 – 2021, with details concerning drivers and challenges, integration status of different lighting technologies and systems, recent trends, and market size per application