Category Archives: Manufacturing

Brite Semiconductor, Inc.(Brite), an ASIC/SoC design and turnkey solution provider, today announced the collaborative development of an industrial machine-to-machine (M2M) system on chip (SoC) with Semitech Semiconductor, a provider of power line communications (PLC) solutions that enable the transformation of the electricity grid into a smart grid. This SoC is designed to support M2M communication in the global industrial and energy transmission market via PLC/wireless modes.

Backed by a successful track record that includes numerous ASIC designs, Brite has developed a Cadence Tensilica-based communication core architecture SoC that integrates DSP, memory, PLC AFE, RF transceiver and high-speed interface IPs with DDR and USB. This provides a market-defining dual-mode PLC/wireless communication system to achieve interactive M2M communication. This SoC will be manufactured using an advanced process with strategic partner SMIC, and will contain Semitech’s integrated PLC/wireless IP. The resulting product will provide high reliability and quality, ensuring it can be adopted by a broad range of industrial applications.

Semitech develops a dual-mode communication core (DMCC) for PLC/wireless and will apply this IP to Brite’s SoC-based system. By leveraging its abundant experience in M2M communication and expanding its proven PLC core, Semitech provides a total DMCC solution (including architecture, digital modules and algorithms) that can simultaneously support reliable wireless and PLC connectivity for the M2M market.

“This collaboration represents an important milestone for Brite, as designing an industrial SoC product for the emerging M2M market has been a goal of ours,” said Jerry Ardizzone, senior vice president of worldwide sales and marketing for Brite Semiconductor. “The primary application for the Brite and Semitech collaboration will be smart meters, and we will develop additional solutions for broader industrial applications including smart home, smart grid and automotive.”

“The next evolutionary step for smart grid applications is to move toward heterogeneous PLC/wireless networks, while accommodating aggressive cost and power budgets,” noted Zeev Collin, CEO of Semitech Semiconductor. “Our existing PLC architecture and the extensive experience of our team in narrowband communication across different media make it possible to take this step. Partnering with Brite puts us at the leading edge of the M2M market and will ensure that we yield a superior product.”

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today announced that, for the fourth successive year, it has earned all three awards resulting from VLSIresearch Inc.’s annual Customer Satisfaction Survey. For 2016, EVG was ranked as one of the 10 BEST Focused Chip Making Equipment Suppliers, having steadily increased its overall ratings since 2013. EVG was also cited as one of THE BEST Suppliers of Fab Equipment and received a RANKED 1st award in Specialty Fab Equipment.

According to VLSIresearch, EVG excelled in the supplier performance categories, which include trust in supplier, technical leadership, recommended supplier, partnering and commitment. Moreover, EVG scored well across the board, increasing its scores in eight of the 15 total categories. The milestones in this year’s rankings continue: 2016 is the 14th consecutive year that EVG has been listed among “THE BEST” suppliers, and the fourth year in which EVG was the highest ranked supplier of wafer bonding equipment.

“EVG continues to rank highly and grow its position on our annual survey, thanks to its strong, global customer-focused strategy,” noted G. Dan Hutcheson, VLSIresearch CEO and chairman. “The company’s approach integrates an emphasis on high-volume manufacturing with its long-running commitment to technology invention, innovation and implementation. The results of our annual survey exemplify EVG’s continued success in delivering leading wafer bonding and lithography solutions.”

Hermann Waltl, executive sales and customer support director at EV Group, stated, “Ensuring our customers’ success is paramount to EVG’s business. Receiving recognition from our customers with these three coveted awards for the fourth year in a row is a true honor, and we thank them for their participation in VLSIresarch’s annual customer satisfaction survey. We look forward to continuing not only to meet but to exceed their requirements through a comprehensive approach of providing leading-edge technology, extensive process technology teams and world-class development and production services around the globe.”

ams acquires CCMOSS


June 16, 2016

ams, a manufacturer of high performance sensor and analog solutions, has signed an agreement to acquire 100% of the shares in Cambridge CMOS Sensors Ltd (CCMOSS), a developer of micro hotplate structures for gas sensing and infrared applications, in an all-cash transaction.

CCMOSS’ micro hotplates are MEMS structures that are used in gas sensors for volume applications in the automotive, industrial, medical, and consumer markets. The company’s deep expertise in this area is highly synergetic with ams’ technology leadership in MOX gas sensing materials to detect gases like CO, NOx, and VOCs. CCMOSS’ manufactures these MEMS structures on CMOS wafers allowing the creation of complete monolithically integrated CMOS sensor ICs. This makes CCMOSS’ solutions highly cost-efficient, besides offering other significant advantages over competing technologies like low power consumption, small footprint and the ability to integrate additional sensor modalities like relative humidity, temperature, and pressure.

In addition, CCMOSS commands a portfolio of IR technology comprising high performance IR radiation sources and detectors for sensor applications. Highly complementary to ams’ spectral sensing strategy for next generation optical sensor technologies, CCMOSS’ IR sensing is based on the same monolithic CMOS structures as for gas sensing, enabling miniaturized implementations and efficient integration with other on-chip functions. Applications include CO2 gas sensing and human presence detection and will extend into spectroscopic identification of organic materials.

Founded in 2008 as a spin-off from Cambridge University, with the start of technology development dating back to 1994 in collaboration with the University of Warwick, CCMOSS has built an outstanding expertise in micro hotplate design and manufacturing for gas and infrared sensing over more than 20 years. CCMOSS’ corporate headquarters are located in Cambridge, UK, and the company has 33 employees. The Cambridge region has become a center of innovation for sensor technologies globally so ams values the ability to gain direct access to this attractive ecosystem going forward. CCMOSS currently has product revenues on a small scale but is not yet profitable.

The parties to the transaction, which is expected to close within a week given that no regulatory approvals are needed, have agreed to keep the consideration confidential. ams plans to fully integrate CCMOSS’ activities into its existing environmental sensor business, which has development locations in Eindhoven, the Netherlands, and Reutlingen, Germany.

Alexander Everke, CEO of ams, commented on the transaction, “The addition of CCMOSS makes ams the clear leader in gas and infrared sensor technology worldwide, and completes ams’ portfolio of products and technologies for the environmental sensor market. This highly strategic acquisition is therefore another key step in making ams the world’s leading provider of sensor solutions for consumer, automotive, industrial, and medical applications.”

Cadence Design Systems, Inc. today announced that STMicroelectronics has qualified and actively deployed the next-generation Cadence Virtuoso platform for its SmartPower technologies. The latest Virtuoso platform successfully enabled ST design engineers to improve custom routing quality and performance and significantly reduce block-planning and pin-optimization time using special pin groups and guide constraints.

In addition to its successes in such areas as sensors, microcontrollers and applications for the Internet of Things (IoT), ST is a worldwide leader in BCD (Bipolar, CMOS, DMOS) Smart Power technologies, utilized to develop ICs for automotive, power management, industrial, consumer and healthcare applications. To address the myriad of complex challenges that come with the development of these types of applications, ST turned to the next-generation Virtuoso platform to improve layout design automation without compromising the highest level of quality and reliability. Furthermore, the mixed-signal design interoperability between the Virtuoso platform and the Cadence Innovus™ Implementation System offers best-in-class floorplanning, pin-optimization and implementation flows that led to a reduction in turnaround time.

In addition to qualifying the next-generation Virtuoso platform for its SmartPower technologies, the ST SmartPower Technology R&D (TR&D) team has also updated its design kits to support the latest Virtuoso platform for production use. This platform also includes the Virtuoso Layout Suite for Electrically Aware Design.

“We have been longtime users of the Virtuoso platform and have a very large user community that trusts the platform to drive the delivery of dozens of production tapeouts each year,” said Pier Luigi Rolandi, director of TR&D Smart Power Design Enablement at STMicroelectronics. “Layout design automation needs to be done in a way that is very seamless to the end user while maintaining highest level of quality, and the next-generation Virtuoso platform does just that. The new platform also enables us to improve designer productivity and effectiveness to ensure that our teams can meet aggressive time-to-market goals.”

Inkjet printing market is in transformation: “Not a revolution, but an evolution,” announces Yole Développement (Yole) in its latest MEMS report, Inkjet Printhead Market & Technology Trends report. And MEMS technology is largely contributing to that changes.

This new Yole’s inkjet printhead report, is gathering technology and market data collected during interviews with system and device manufacturers and equipment & materials suppliers. Under this new technology & market analysis, the consulting company proposes an overview of the MEMS inkjet printhead industry including applications trends, market quantification, list of key players and related market shares. Yole’s analysts detail the printhead industry ecosystem with a relevant competitive analysis and a detailed description of the supply chain. This report also includes a comprehensive technology roadmap.

With a market reaching US$ 1 billion in 2021 (CAGR : 1.8% between 2016 and 2021), the MEMS printhead is one of the most mature MEMS devices.

Without any doubts, MEMS technology is one of the key factor fostering access to new applications and markets. Yole highlights for example the introduction of thin film PZT deposition processes for better control and higher resolution. Industrial companies are also offering better accuracy and scalability of MEMS dies for higher integration first and then to allow single pass printing for high quality and throughput. At the end, the use of semiconductor serial processes directly impacts the printhead price compared to conventional printhead allowing significant cost reduction.
The transition is not only coming from the technology evolution and the MEMS printhead manufacturers (technology push) but also from the market with specific requirements (market pull):

“Today, MEMS technology is not only offering printing capabilities,” asserts Jérôme Mouly, Technology & Market Analyst, Yole. And he adds: “MEMS will create a new ecosystem including services and products around printing from manufacturing to dispensing and IoT.”

Amid this market (r)evolution, MEMS printhead manufacturer landscape has changed in the last 5 years due to high competitiveness in printing industry:
• The industry is today mainly made of large enterprise, led by HP Inc., representing 57% market share followed by Canon and Epson.
• Some companies are currently developing new MEMS-based products. They will give a new leverage to MEMS printead mature market. These companies including XAAR, Konica Minolta, Ricoh and Océ, are already players in conventional printheads sectors

According to Yole’s analysts, the MEMS printhead market is still expected to change in the next period. Yole already sees signs of changes:
• Lexmark sold its inkjet business to Funai in 2013.
• The major players HP Inc., Canon and Epson are diversifying activity from consumer to new printing opportunities.
• Most of the conventional piezo printhead players is more and more interested in MEMS technology to reach new markets

What are inkjet printheads writing in the future? MEMS printhead market will generate revenues combining flat sales coming from consumer market with relatively lower volume with high value printheads. From the technology side, emerging solutions will increase resolution, speed of ink to fire and suppress lead-based actuator materials to more and more environmental solutions. And companies will continue to invest in MEMS technologies using foundry services, to use the foundries’ technical knowledge and optimize manufacturing costs.

A detailed description of the MEMS printhead report is available on i-micronews.com, MEMS & Sensors reports section.

Nanoelectronics research center imecHolst Centre (set up by imec and TNO) and micro-electronic design house Barco Silex, belonging to the Barco group, today announced that they will collaborate to implement data security into sensors for wearable devices and Internet of Things (IoT) sensor networks. The organizations are coming together to bring data security for the IoT to the next level.

Smart wearables for lifestyle and health monitoring as well as many other personal IoT applications are evolving with a plethora of capabilities at a rapid pace. However, trust is key for a broad adoption and the implementation of a true intuitive IoT society -with sensors invisibly embedded everywhere in the environment, measuring all kind of parameters and making smart decisions- to support everyday life. The smart society can only become a reality when the sensor technology is trusted by their users and the privacy of the users’ data is guaranteed at all time. Data security for the IoT is therefore considered as one of the main challenges to solve.

Current security solutions for IoT are designed for communication within one application domain or network. To realize a higher level of security, novel concepts for authentication, onboarding and end-to-end security in heterogeneous IoT networks are needed. Imec, Holst Centre and Barco Silex’ collaboration aims to leverage the technology and design expertise of imec and Holst Centre with the security IP portfolio of Barco Silex to develop novel chip architectures for secure ultra-low power sensors, and novel security concepts for reliable heterogeneous networks. Barco Silex will deliver the needed embedded security solution including crypto IP blocks to be implemented into imec’s multisensor IC for wearables and imec’s demonstrator platform for IoT. Imec will develop novel compute and memory architectures for minimal overhead of the secure implementation on the overall cost and power consumption of the sensors. In a next step, imec will study the impact of secure communication on throughput, response time and other performance aspects of heterogeneous IoT networks.

“This collaboration is part of a roadmap on a secure and intuitve IoT. Close collaborations with security experts like Barco Silex, but also with excellent research groups from KULeuven and iMinds will offer the imec ecosystem to develop novel and complete solutions for secure wearables and heterogeneous IoT networks. The program is open for new companies to join us on this exciting journey!” stated Kathleen Philips, program director  perceptive systems for an Intuitive IoT at imec.

“We are very pleased to be part of imec’s ambitious R&D program on intuitive IoT.” said Thierry Watteyne, CEO of Barco Silex. “This exciting collaboration is the recognition of the quality and flexibility of our security solutions. In addition it will help our developers to push our embedded security platforms solutions to the next level, especially in terms of optimizing the low power-high security equation within the chip architecture.”

By Ed Korczynski, Senior Technical Editor

The world’s leading lithographers gather each year in San Jose, California at SPIE’s Advanced Lithography conference to discuss how to extend optical lithography. So of all the NGL technologies, which will win out in the end?

“Mix and Match” has long been a mantra for lithographers in the deep-sub-wavelength era of IC device manufacturing. In general, forming patterns with resolution at minimum pitch as small as 1/4 the wavelength of light can be done using off-axis illumination (OAI) through reticle enhancement techniques (RET) on masks, using optical proximity correction (OPC) perhaps derived from inverse lithography technology (ILT). Lithographers can form 40-45nm wide lines and spaces at the same half-pitch using 193nm light (from ArF lasers) in a single exposure.

Figure 1 shows that application-specific tri-layer photoresists are used to reach the minimum resolution of 193nm-immersion (193i) steppers in a single exposure. Tighter half-pitch features can be created using all manner of multi-patterning processes, including Litho-Etch-Litho-Etch (LELE or LE2) using two masks for a single layer or Self-Aligned Double Patterning (SADP) using sidewall spacers to accomplish pitch-splitting. SADP has been used in high volume manufacturing (HVM) of logic and memory ICs for many years now, and Self-Aligned Quadruple Patterning (SAQP) has been used in at least one leading memory fab.

FIGURE 1. Basic tri-layer resist (TLR) technology uses thin Photoresist over silicon-containing Hard-Mask over Spin-On Carbon (SOC), for patterning critical layers of advanced ICs. (Source: Brewer Science)

FIGURE 1. Basic tri-layer resist (TLR) technology uses thin Photoresist over silicon-containing Hard-Mask over Spin-On Carbon (SOC), for patterning critical layers of advanced ICs. (Source: Brewer Science)

Next-Generation Lithography (NGL) generally refers to any post-optical technology with at least some unique niche patterning capability of interest to IC fabs: Extreme Ultra-Violet (EUV), Directed Self-Assembly (DSA), and Nano-Imprint Lithography (NIL). Though proponents of each NGL have dutifully shown capabilities for targeted mask layers for logic or memory, the capabilities of ArF dry and immersion (ArFi) scanners to process >250 wafers/ hour with high uptime dominates the economics of HVM lithography.

The world’s leading lithographers gather each year in San Jose, California at SPIE’s Advanced Lithography conference to discuss how to extend optical lithography. So of all the NGL technologies, which will win out in the end?

It is looking most likely that the answer is “all of the above.” EUV and NIL could be used for single layers. For other unique patterning application, ArF/ArFi steppers will be used to create a basic grid/template which will be cut/trimmed using one of the available NGL. Each mask layer in an advanced fab will need application-specific patterning integration, and one of the rare commonalities between all integrated litho modules is the overwhelming need to improve pattern overlay performance.

Naga Chandrasekaran, Micron Corp. vice president of Process R&D, provided a fantastic overview of the patterning requirements for advanced memory chips in a presentation during Nikon’s LithoVision technical symposium held February 21st in San Jose, California prior to the start of SPIE-AL. While resolution improvements are always desired, in the mix-and-match era the greatest challenges involve pattern overlay issues.

“In high volume manufacturing, every nanometer variation translates into yield loss, so what is the best overlay that we can deliver as a holistic solution not just considering stepper resolution?” asks Chandrasekaran.

“We should talk about cost per nanometer overlay improvement.”

Extreme Ultra-Violet (EUV)

As touted by ASML at SPIE-AL, the brightness and stability and availability of tin-plasma EUV sources continues to improve to 200W in the lab “for one hour, with full dose control,” according to Michael Lercel, ASML’s director of strategic marketing. ASML’s new TWINSCAN NXE:3350B EUVL scanners are now being shipped with 125W power sources, and Intel and Samsung Electronics reported run their EUV power sources at 80W over extended periods.

During Nikon’s LithoVision event, Mark Phillips, Intel Fellow and Director of Lithography Technology Development for Logic, summarized recent progress of EUVL technology: ~500 wafers-per-day is now standard, and ~1000 wafer-per-day can sometimes happen. However, since grids can be made with ArFi for 1/3 the cost of EUVL even assuming best productivity for the latter, ArFi multi-patterning will continue to be used for most layers.

“Resolution is not the only challenge,” reminded Phillips. “Total edge-placement-error in patterning is the biggest challenge to device scaling, and this limit comes before the device physics limit.”

Directed Self-Assembly (DSA)

DSA seems most suited for patterning the periodic 2D arrays used in memory chips such as DRAMs. “Virtual fabrication using directed self-assembly for process optimization in a 14nm DRAM node” was the title of a presentation at SPIE-AL by researchers from Coventor in which DSA compared favorably to SAQP.

Imec presented electrical results of DSA-formed vias, providing insight on DSA processing variations altering device results. In an exclusive interview with Solid State Technology and SemiMD, imec’s Advanced Patterning Department Director Greg McIntyre reminds us that DSA could save one mask in the patterning of vias which can all be combined into doublets/triplets, since two masks would otherwise be needed to use 193i to do LELE for such a via array. “There have been a lot of patterning tricks developed over the last few years to be able to reduce variability another few nanometers. So all sorts of self-alignments.”

While DSA can be used for shrinking vias that are not doubled/tripled, there are commercially proven spin-on shrink materials that cost much less to use as shown by Kaveri Jain and Scott Light from Micron in their SPIE-AL presentation, “Fundamental characterization of shrink techniques on negative-tone development based dense contact holes.” Chemical shrink processes primarily require control over times, temperatures, and ambients inside a litho track tool to be able repeatably shrink contact hole diameters by 15-25 nm.

Nano-Imprint Litho (NIL)

For advanced IC fab applications, the many different options for NIL technology have been narrowed to just one for IC HVM. The step-and-pattern technology that had been developed and trademarked as “Jet and Flash Imprint Lithography” or “J-FIL” by, has been commercialized for HVM by Canon NanoTechnologies, formerly known as Molecular Imprints (http://cnt.canon.com/). Canon shows improvements in the NIL mask-replication process, since each production mask will need to be replicated from a written master. To use NIL in HVM, mask image placement errors from replication will have to be reduced to ~1nm, while the currently available replication tool is reportedly capable of 2-3nm (3 sigma).

Figure 2 shows normalized costs modeled to produce 15nm half-pitch lines/spaces for different lithography technologies, assuming 125 wph for a single EUV stepper and 60 wph for a cluster of 4 NIL tools. Key to throughput is fast filling of the 26mmx33mm mold nano-cavities by the liquid resist, and proper jetting of resist drops over a thin adhesion layer enables filling times less than 1 second.

FIGURE 2. Relative estimated costs to pattern 15nm half- pitch lines/spaces for different lithography technologies, assuming 125 wph for a single EUV stepper and 60 wph for a cluster of 4 NIL tools. (Source: Canon)

FIGURE 2. Relative estimated costs to pattern 15nm half- pitch lines/spaces for different lithography technologies, assuming 125 wph for a single EUV stepper and 60 wph for a cluster of 4 NIL tools. (Source: Canon)

Researchers from Toshiba and SK Hynix described evaluation results of a long-run defect test of NIL using the Canon FPA-1100 NZ2 pilot production tool, capable of 10 wafers per hour and 8nm overlay, in a presentation at SPIE-AL titled, “NIL defect performance toward high- volume mass production.” The team categorized defects that must be minimized into fundamentally different categories—template, non-filling, separation-related, and pattern collapse—and determined parallel paths to defect reduction to allow for using NIL in HVM of memory chips with <20nm half-pitch features.

Converting a single photon from one color, or frequency, to another is an essential tool in quantum communication, which harnesses the subtle correlations between the subatomic properties of photons (particles of light) to securely store and transmit information. Scientists at the National Institute of Standards and Technology (NIST) have now developed a miniaturized version of a frequency converter, using technology similar to that used to make computer chips.

False-color scanning electron micrograph of a nanophotonic frequency converter, consisting of a ring-shaped resonator (shaded blue) into which light is injected using a waveguide (shaded red). The input signal, depicted as a purple arrow, is converted to a new frequency (blue arrow) through the application of two pump lasers (light and dark red arrows). Credit: K. Srinivasan et al./NIST

False-color scanning electron micrograph of a nanophotonic frequency converter, consisting of a ring-shaped resonator (shaded blue) into which light is injected using a waveguide (shaded red). The input signal, depicted as a purple arrow, is converted to a new frequency (blue arrow) through the application of two pump lasers (light and dark red arrows). Credit: K. Srinivasan et al./NIST

The tiny device, which promises to help improve the security and increase the distance over which next-generation quantum communication systems operate, can be tailored for a wide variety of uses, enables easy integration with other information-processing elements and can be mass produced.

The new nanoscale optical frequency converter efficiently converts photons from one frequency to the other while consuming only a small amount of power and adding a very low level of noise, namely background light not associated with the incoming signal.

Frequency converters are essential for addressing two problems. The frequencies at which quantum systems optimally generate and store information are typically much higher than the frequencies required to transmit that information over kilometer-scale distances in optical fibers. Converting the photons between these frequencies requires a shift of hundreds of terahertz (one terahertz is a trillion wave cycles per second).

A much smaller, but still critical, frequency mismatch arises when two quantum systems that are intended to be identical have small variations in shape and composition. These variations cause the systems to generate photons that differ slightly in frequency instead of being exact replicas, which the quantum communication network may require.

The new photon frequency converter, an example of nanophotonic engineering, addresses both issues, Qing Li, Marcelo Davanço and Kartik Srinivasan write in Nature Photonics. The key component of the chip-integrated device is a tiny ring-shaped resonator, about 80 micrometers in diameter (slightly less than the width of a human hair) and a few tenths of a micrometer in thickness. The shape and dimensions of the ring, which is made of silicon nitride, are chosen to enhance the inherent properties of the material in converting light from one frequency to another. The ring resonator is driven by two pump lasers, each operating at a separate frequency. In a scheme known as four-wave-mixing Bragg scattering, a photon entering the ring is shifted in frequency by an amount equal to the difference in frequencies of the two pump lasers.

Like cycling around a racetrack, incoming light circulates around the resonator hundreds of times before exiting, greatly enhancing the device’s ability to shift the photon’s frequency at low power and with low background noise. Rather than using a few watts of power, as typical in previous experiments, the system consumes only about a hundredth of that amount. Importantly, the added amount of noise is low enough for future experiments using single-photon sources.

While other technologies have been applied to frequency conversion, “nanophotonics has the benefit of potentially enabling the devices to be much smaller, easier to customize, lower power, and compatible with batch fabrication technology,” said Srinivasan. “Our work is a first demonstration of a nanophotonic technology suitable for this demanding task of quantum frequency conversion.”

This work was performed by researchers at NIST’s Center for Nanoscale Science and Technology.

“Efficient and low-noise single-photon-level frequency conversion interfaces using silicon nanophotonics.” Q. Li, M. Davanço and K. Srinivasan.  Nature Photonics, 18 April 2016. DOI: 10.1038/nphoton.2016.64

STMicroelectronics (NYSE: STM) has surpassed two billion unit sales of its robust and versatile STM8 microcontrollers, less than two years after reaching one billion unit sales, noting particularly strong success in China.

Aided by these accelerating STM8 sales, ST’s share of the general-purpose microcontroller market has grown to 12.7% in 2015 from 8.2% in 2013, according to World Semiconductor Trade Statistics (WSTS).

“The STM8 has become one of the world’s most popular microcontrollers, and is a sturdy pillar of our strategy,” said Daniel Colonna, Microcontrollers Marketing Director, STMicroelectronics. “As with all of our MCUs, we are committed to supporting STM8 for the long term, and we’ll continue to strengthen our market position.”

The STM8 provides an economical and efficient electronic brain for smart devices in daily use throughout the world, such as white goods, consumer products, automotive control units (ECUs), and industrial controls. It combines class-leading computing power and competitive pricing with support for memory-efficient coding, low power consumption, high feature integration, versatile configurations, and an outstanding development ecosystem that facilitates design, debug, and prototyping. In addition, built-in memory cycling and error checking, as well as high reliability for automotive applications, have made STM8 a benchmark for robust embedded performance.

The large number of devices shipped reflects the microcontroller’s appeal to OEMs serving high-volume markets, and the work of ST’s distributors worldwide who have helped design STM8 devices into a wide variety of projects. Sales performance has been particularly impressive in China through ST’s top microcontroller distributors, Willas-Array, Wintech and Yosun, targeting products for the country’s domestic market as well as for export.

Key technical features of STM8 microcontrollers:

— Proprietary CPU core with best-in-class performance
— High code efficiency enabling more sophisticated applications in smaller
memory footprint
— 1.65V to 5.5V power-supply range covers many application types
— 2KB to 128KB on-chip Flash; up to 2KB data EEPROM
— Multiple package types from 20-pin to 80-pin, including LQFP, WLCSP,
QFN20-48, SO-20, TSSOP-20
— High integration for cost-effective system design:

— ADC, DAC, multiple channels up to 12-bit
— Rich serial interfaces
— Multiple timers
— Display controllers up to 8 x 40 LCD
— Flexible, power-saving clock system
— Up to 68 high-current I/Os

In a new study recently published in Nature Nanotechnology, researchers from Columbia Engineering, Cornell, and Stanford have demonstrated heat transfer can be made 100 times stronger than has been predicted, simply by bringing two objects extremely close–at nanoscale distances–without touching. Led by Columbia Engineering’s Michal Lipson and Stanford Engineering’s Shanhui Fan, the team used custom-made ultra-high precision micro-mechanical displacement controllers to achieve heat transfer using light at the largest magnitude reported to date between two parallel objects.

This is a still shot from a video of the high-precision micro-electromechanical system (MEMS) used to control the distance between two beams at different temperatures. The video is taken under a high magnification microscope. The whole video frame dimension is comparable to the diameter of a strand of human hair. Credit: Raphael St-Gelais, Lipson Nanophotonics Group, Columbia Engineering

This is a still shot from a video of the high-precision micro-electromechanical system (MEMS) used to control the distance between two beams at different temperatures. The video is taken under a high magnification microscope. The whole video frame dimension is comparable to the diameter of a strand of human hair. Credit: Raphael St-Gelais, Lipson Nanophotonics Group, Columbia Engineering

“At separations as small as 40 nanometers, we achieved almost a 100-fold enhancement of heat transfer compared to classical predictions,” says Lipson, Eugene Higgins Professor of Electrical Engineering and professor of applied physics. “This is very exciting as it means that light could now become a dominant heat transfer channel between objects that usually exchange heat mostly through conduction or convection. And, while other teams have demonstrated heat transfer using light at the nanoscale before, we are the first to reach performances that could be used for energy applications, such as directly converting heat to electricity using photovoltaic cells.”

All objects in our environment exchange heat with their surroundings using light. This includes the light coming at us from the sun, the glowing red color of the heating element inside our toaster ovens, or the “night vision” cameras that enable image recording even in complete darkness. But heat exchange using light is usually very weak compared to what can be achieved by conduction (i.e., by simply putting two objects in contact with each other) or by convection (i.e., using hot air). Radiative heat transfer at nanoscale distances, while theorized, has been especially challenging to achieve because of the difficulty of maintaining large thermal gradients over nanometer-scale distances while avoiding other heat transfer mechanisms like conduction.

Lipson’s team was able to bring objects at different temperatures very close to each other–at distances smaller than 100 nanometers, or 1/1000th of the diameter of a strand of human hair. They were able to demonstrate near-field radiative heat transfer between parallel SiC (silicon carbide) nanobeams in the deep sub-wavelength regime. They used a high-precision micro-electromechanical system (MEMS) to control the distance between the beams and exploited the mechanical stability of nanobeams under high tensile stress to minimize thermal buckling effects, thus keeping control of the nanometer-scale separation even at large thermal gradients.

Using this approach, the team was able to bring two parallel objects at different temperatures to distances as small as 42 nm without touching. In this case they observed that the heat transfer between the objects was close to 100 times stronger that what is predicted by conventional thermal radiation laws (i.e. “blackbody radiation”). They were able to repeat this experiment for temperature differences as high as 260oC (500oF) between the two objects. Such high temperature difference is especially important for energy conversion applications since, in these cases, the conversion efficiency is always proportional to the thermal difference between the hot and the cold objects involved.

“An important implication of our work is that thermal radiation can now be used as a dominant heat transfer mechanism between objects at different temperatures,” explains Raphael St-Gelais, the study’s lead author and postdoctoral fellow working with Lipson at Columbia Engineering. “This means that we can control heat flow with a lot of the same techniques we have for manipulating light. This is a big deal since there are a lot of interesting things we can do with light, such as converting it to electricity using photovoltaic cells.”

St-Gelais and Linxiao Zhu, who co-authored the study and is a PhD candidate in Fan’s group at Stanford, note that the team’s approach can be scaled up to a larger effective area by simply arraying several nanobeams–on top of a photovoltaic cell, for example–and by individually controlling their out-of-plane displacement using MEMS actuators. The researchers are now looking at applying their same approach for ultra-high-precision displacement control, this time with an actual photovoltaic cell to generate electricity directly from heat.

“This very strong, non-contact, heat transfer channel could be used for controlling the temperature of delicate nano devices that cannot be touched, or for very efficiently converting heat to electricity by radiating large amounts of heat from a hot object to a photovoltaic cell in its extreme proximity,” Lipson adds. “And if we can shine a large amount of heat in the form of light from a hot object to a photovoltaic cell, we could potentially create compact modules for direct conversion of heat to electrical power. These modules could be used inside cars, for instance, to convert wasted heat from the combustion engine back to useful electrical power. We could also use them in our homes to generate electricity from alternative energy sources such as biofuels and stored solar energy.”