Category Archives: Materials and Equipment

GOWIN Semiconductor Corp., an innovator of programmable logic devices, announces the release of GOWIN’s new EDA tool, YunYuan 1.9. With the release of this new toolchain, GOWIN will enable enhanced features and performance capabilities on their current and future FPGA product families.

EDA toolchains are becoming increasingly complex as FPGA applications are integrating more functions for the cloud and endpoint markets. To enable this complexity change, the new toolchain will include Gowin Synthesis, an enhanced front end logic synthesis tool designed and developed by the GOWIN EDA software team. It’s a significant milestone for GOWIN as the total toolchain is now completely designed in-house, allowing for quick quality improvements as well as product updates for customers time to market requirements. While GOWIN’s FPGA’s will be more optimized for IP, performance, and utilization using the new Yun Yuan 1.9 toolchain, the toolchain will additionally support the current Synopsys Synplify Pro synthesis tool already integrated.

“The development of the new synthesis tool is a major step for GOWIN,” said Alan Liu, Director of Software Development, GOWIN Semiconductor. “We can now make tool adjustments in real-time, enhancing the user experience.”

GOWIN EDA (YunYuan®) is an easy-to-use integrated design environment, providing design engineers with a one-stop solution. The complete GUI based environment covers FPGA design entry, code synthesis, place & route, bitstream generation, download, and online debugging of GOWIN FPGA’s on customer’s boards. The new toolchain also incorporates the following updated IP blocks:

Communication:

  • CAN2.0 & CAN-FD IP
  • High-Speed MIPI Interface (1:8 & 1:16 Gear Box)
  • Ethernet 10/100/1000Mhz MAC Controller & Interface to MII/RMII/GMII

Memory Controller:

  • pSRAM Controller IP

Microprocessor:

  • Configurable RISC-V (5-Stage-Pipeline) CPU & System IP

DSP:

  • FIR
  • NLMS Filter
  • FDAF – Frequency Domain Adaptive Filter
  • Cross-Correlation

Laser systems specialist LPKF Laser & Electronics, based in Hannover, Germany has added a foundry service for thin glass substrates to its product portfolio. The company recently introduced the Laser-Induced Deep Etching technology, or LIDE for short, a process for the precise and highly efficient manufacturing of through-glass vias (TGV) and other deep micro features in thin glass substrates. The LIDE process is able to overcome past limitations in glass drilling and micro machining as it combines very high productivity and low manufacturing cost with the superior quality of a direct data process, forgoing masks or photo processing.

With the introduction of its new independent foundry service, LPKF is hoping to make the LIDE technology available on a much wider scale, covering both prototyping and experimental applications as well as scalable mass production capacity. The service is aimed at the manufacturing of glass substrates for advanced IC and MEMS packaging as well as micro-machining of spacer wafers,microfluidics and other specialty glass applications. LPKF’s new foundry service is located at its corporate headquarters and will operate under the company’s Vitrion brand name.

Established in 1976, LPKF Laser & Electronics manufactures laser systems used in circuit board prototyping, microelectronics fabrication, solar panel scribers, laser plastic welding systems and recently added a foundry service for thin glass substrates used in electronics packaging. LPKF’sworldwide headquarters is located in Hannover, Germany and its North American headquarters resides in Portland, OR.

Toshiba Machine Co., Ltd. (TOKYO:6104) has developed the new DC-KT Series Die Casting Machines to meet the needs of the Southeast Asian market and has started sales and production at its plant in Thailand.

DC-KT Series Features

  • Enhanced functions for meeting the unique needs of the Southeast Asian market. The Thai plant has been engaged in the manufacture and sales of die casting machines for four years. The knowledge gained about local market needs over this period led to the development of these new die casting machines with features not found in previous machines, namely, a preset function for casting pressure, 2-level injection settings for low speed, and intensification accumulator in standard configurations. Also, the machine control panel incorporates a touch panel for intuitive, simple operation and control.
  • Lineup with 280-ton and 400-ton die-locking force models. The product lineup includes 280-ton and 400-ton models providing even higher die-locking force than previous models for enabling support for casting of larger products. Improved high-speed acceleration performance and maximum injection force allow casting of complex-shaped products, products with large thicknesses, and a wide array of other product types. “Local production-local consumption”, providing optimum support to customers in Southeast Asia.
  • Production and shipping at the Thai plant near the market enables faster delivery times, more stable production, and quicker response to customer requests for modifications, overhauls, and other services.

Company president Tanabe of Toshiba Machine (Thailand) Co., Ltd, expressed his intention to expand in the Southeast Asian market; “We want to aggressively expand sales using this new model, which was developed based on feedback from our customers in the Southeast Asian market.”

Toshiba Machine will launch these new die casting machines to assist Southeast Asia region customers in attaining even higher productivity.

MIRPHAB, a European Commission project to create a pilot line to fabricate mid-infrared (MIR) sensors by 2020, is accepting proposals from companies that want to develop and prototype new MIR devices that operate in gas-and-liquid media.

The project produces MIR photonic devices via assembled and/or packaged devices for laser-based, analytical MIR sensors, and expert design for sensor components that are fabricated on the pilot line. The platform is organized so that development of novel sensors and sensing systems is based on MIR integrated optic components and modules already incorporated in MIRPHAB’s portfolio.

The aim of the MIRPHAB pilot line is to provide each customer with a unique chemical spectroscopic system by combining sources, photonic circuits and detectors in standard packaging.

“European industry requires more efficient control processes to gain greater productivity and operational efficiency, and this project will deliver the devices required to improve those processes,” said CEA-Leti’s Sergio Nicoletti, who is coordinating the project. “MIRPHAB also will develop new sensor technology that provides novel analytical tools for companies to help improve people’s overall quality of life via environmental monitoring (e.g to measure VOC), food quality control (e.g. food spoilage or  adulteration ) and fast clinical diagnoses (e.g. provide cancer cells images). These are some of the areas where MIR sensors will play an increasingly significant role.”

In addition to providing device-design services for customers, the MIRPHAB team will help them develop sound business cases and strong business plans to commercialize their new devices. Potential cost-and-performance breakthroughs will be shown for reliable MIR sensing products based on building blocks provided by MIRPHAB. MIRPHAB also will be a sustainable source of key components for new and highly competitive MIR sensors, and will support their successful market introduction, while strengthening the competitiveness of European industry.

Mid-infrared light interacts strongly with molecular vibrations as each molecule gives a unique absorption spectrum that provides a simple solution for sensing. The sensors’ reduced size and flexible design make them ideal candidates for integration into already existing equipment for in-line/on-line detection.

The MIRPHAB team will host a booth, #ZB24, at the Sensors USA event in Santa Clara, Calif., Nov. 14-15, 2018.

MIRPHAB is funded by the Photonics Public Private Partnership. The project brings together 18 leading European organizations and is coordinated by CEA-Leti. For more information visit the project’s website.

Renesas Electronics Corporation (TSE:6723, “Renesas”), a supplier of advanced semiconductor solutions, today announced that it has resolved at the Meeting of Board of Directors held on October 31, 2018 to consolidate its wholly-owned subsidiary Renesas Semiconductor Package & Test Solutions Co, Ltd. (“Renesas Semiconductor Package & Test Solutions”) through an absorption-type merger (“Merger”).Certain disclosure items and details have been omitted due to the Merger being an absorption-type merger of a wholly-owned subsidiary.

Purpose of Merger

With an aim to build a business structure that can generate consistent profitability, Renesas reorganized its domestic manufacturing subsidiaries and business units in April 2014 to simplify and boost the efficiency of its organization and these efforts have steadily delivered tangible results. Nevertheless, Renesas must build a flexible production system based on quick decision-making to be able to respond to the rapid changes in the semiconductor industry. Renesas therefore decided to consolidate Renesas Semiconductor Package & Test Solutions, which is responsible overall for the back-end production business, effective January 1, 2019, to further simplify the organization and decision-making process within the semiconductor production business and enable rapid and consistent decision-making. The Merger will enable Renesas to build a manufacturing structure optimized for responding to changes in the business environment and accelerate further growth.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $122.7 billion during the third quarter of 2018, an increase of 4.1 percent over the previous quarter and 13.8 percent more than the third quarter of 2017. Global sales for the month of September 2018 reached $40.9 billion, an uptick of 2.0 percent over last month’s total and 13.8 percent more than sales from June 2017. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Three-quarters of the way through 2018, the global semiconductor industry is on pace to post its highest-ever annual sales, comfortably topping last year’s record total of $412 billion,” said John Neuffer, president and CEO, Semiconductor Industry Association. “While year-to-year growth has tapered in recent months, September marked the global industry’s highest-ever monthly sales, and Q3 was its top-grossing quarter on record. Year-to-year sales in September were up across every major product category and regional market, with sales into China and the Americas continuing to lead the way.”

Regionally, sales increased compared to September 2017 in China (26.3 percent), the Americas (15.1 percent), Europe (8.8 percent), Japan (7.2 percent), and Asia Pacific/All Other (2.4 percent). Sales were up compared to last month in the Americas (6.0 percent), China (1.8 percent), and Europe (1.2 percent), but down slightly in Asia Pacific/All Other (-0.1 percent) and Japan (-0.6 percent).

For comprehensive monthly semiconductor sales data and detailed WSTS Forecasts, consider purchasing the WSTS Subscription Package. For detailed data on the global and U.S. semiconductor industry and market, consider purchasing the 2018 SIA Databook.

September 2018
Billions
Month-to-Month Sales
Market Last Month Current Month % Change
Americas 8.68 9.20 6.0%
Europe 3.53 3.57 1.2%
Japan 3.39 3.37 -0.6%
China 14.10 14.35 1.8%
Asia Pacific/All Other 10.43 10.42 -0.1%
Total 40.12 40.91 2.0%
Year-to-Year Sales
Market Last Year Current Month % Change
Americas 7.99 9.20 15.1%
Europe 3.28 3.57 8.8%
Japan 3.14 3.37 7.2%
China 11.36 14.35 26.3%
Asia Pacific/All Other 10.18 10.42 2.4%
Total 35.95 40.91 13.8%
Three-Month-Moving Average Sales
Market Apr/May/Jun Jul/Aug/Sept % Change
Americas 8.34 9.20 10.2%
Europe 3.67 3.57 -2.7%
Japan 3.39 3.37 -0.8%
China 13.59 14.35 5.6%
Asia Pacific/All Other 10.32 10.42 1.0%
Total 39.31 40.91 4.1%

“2017 was an unprecedented year for semiconductor industry,” commented Santosh Kumar, Director of Packaging, Assembly and Substrates at Yole Korea, part of Yole Développement (Yole). “The market grow by 21.6% year-to-year to reach record of almost US$412 billion.”

Under this dynamic context, the advanced packaging industry is playing a key role, offering huge opportunities of innovation for the companies involved. According to Yole’s analyst, Santosh Kumar, the advanced packaging market should reach about US$ 39 billion in 2023.

The market research and strategy consulting company Yole, releases this month, its famous report, Status of the Advanced Packaging Industry. Santosh Kumar, with the help of the advanced packaging team at Yole, proposes today an impressive 2018 edition with key market trends, the description of technology evolution, a detailed analysis of the competitive landscape.

For the 1st time, this technology & market report includes a specific section dedicated to the advanced packaging technologies in the new semiconductor era. It offers a short term and long term outlook, with detailed roadmaps. It also details the impact of front-end scaling on advanced packaging. In addition Yole’s team points out the competitive landscape, with disruption and opportunities, detailed supply chain, production splits by manufacturers.

“This report is part of our key advanced packaging technology & market analyses,” asserts Emilie Jolivet, Director, Semiconductor & Software at Yole. “Thanks to this report, we built a strong reputation and became step by step one of the major consulting companies in this area.”

To highlight results of this new advanced packaging report, Yole combines the release of this report with the relevant interview of a key advanced packaging player, Amkor Technology. OSATs clearly play a significant role in the evolution of the industry and Ron Huemoeller, Corporate Vice President, Head of WWRD & Technology Strategy and Christopher A. Chaney, IRC, Vice President, Investor Relations, both at Amkor Technology agreed to share their vision with @Micronews readers: More.

Between 2017 and 2023, the total packaging market’s revenue will grow at 5.2% CAGR . In parallel, over the same period, the advanced packaging market will grow at 7% CAGR. On the other hand, the traditional packaging market will grow at a lower CAGR of 3.3%.

Of the different advanced packaging platforms, 3D TSV and fan-out will grow at rates of 29% and 15%, respectively. Flip-chip, which constitutes the majority of the advanced packaging market, will grow at CAGR of almost 7%. Meanwhile, fan-in WLP will grow at a 7% CAGR from 2017 – 2023, mainly led by mobile.

“Advanced packages will continue their important role of addressing high-end logic and memory in computing and telecom, with further penetration in analog and RF in high-end consumer/mobile segments,” analyses Santosh Kumar from Yole. All of this while eyeing opportunities in the growing automotive and industrial segments.

What’s happened in 2017? According to Yole, two advanced packaging roadmaps are foreseen:
•  Scaling: going to sub10 nm nodes
•  And functional: staying above 20nm nodes.

In parallel, the semiconductor industry is developing products on both of them. Under this favorable context, advanced semiconductor packaging is seen as a way to increase the value of a semiconductor product, adding functionality, maintaining/increasing performance while lowering cost.
Both roadmaps hold more multi-die heterogeneous integration including SiP and higher levels of package customization in the future. A variety of multi-die packaging is developing in both high and low end, for consumer, performance and specialized applications. Heterogeneous integration has created opportunities for both the substrate and WLP based SiP.

2017 also show the merger of 3 competitive areas that will continue to develop: PCB vs. substrate, substrate vs. Fan-Out and Fan-Out vs. 2.5D/3D.

It will be difficult to repeat 2017 performances and Yole’s Semiconductor & Software team went further in its investigation this year again, to propose you today a comprehensive analysis of this evolution. Lot of questions are still pending and the Status of the Advanced Packaging industry will give you a deep understanding of the megatrends impacting this industry, the related business opportunities and technical innovations. A detailed description of this report is available on i-micronews.com, advanced packaging reports section.

Samsung Electronics Co., Ltd. today announced several groundbreaking additions to its comprehensive semiconductor ecosystem that encompass next-generation technologies in foundry as well as NAND flash, SSD (solid state drive) and DRAM. Together, these developments mark a giant step forward for Samsung’s semiconductor business.

Unveiled at its annual Samsung Tech Day include:

  • 7nm EUV process node from Samsung’s Foundry Business, providing significant strides forward in power, performance and area.
  • SmartSSD, a field programmable gate array (FPGA) SSD, that will offer accelerated data processing and the ability to bypass server CPU limits.
  • QLC-SSD for enterprise and datacenters that offer 33-percent more storage per cell than TLC-SSD, consolidating of storage footprints and improving total cost of ownership (TCO).
  • 256-gigabyte (GB) 3DS (3-dimensional stacking) RDIMM (registered dual in-line memory module), based on 10nm-class 16-gigabit (Gb) DDR4 DRAM that will double current maximum capacity to deliver higher performance and lower power consumption.

“Samsung’s technology leadership and product breadth are unparalleled,” said JS Choi, President, Samsung Semiconductor, Inc. “Bringing 7nm EUV into production is an incredible achievement. Also, the announcements of SmartSSD and 256GB 3DS RDIMM represent performance and capacity breakthroughs that will continue to push compute boundaries. Together, these additions to Samsung’s comprehensive technology ecosystem will power the next generation of datacenters, high-performance computing (HPC), enterprise, artificial intelligence (AI) and emerging applications.”

Advanced Foundry Technology

Initial wafer production of Samsung’s 7nm LPP (Low Power Plus) EUV process node represents a major milestone in semiconductor fabrication. The 7LPP EUV process technology provides great advances, including a respective maximum of 40-percent area reduction, 50-percent dynamic power reduction and 20-percent performance increase over 10nm processes. The 7LPP process represents a clear demonstration of the foundry business’ technology roadmap evolution, providing Samsung’s customers a direct path forward to 3nm.

Powering Server-less Computing

Samsung enables the most advanced providers of server-less computing through products including the new SmartSSD, quad-level cell (QLC)-SSD, 256GB 3DS RDIMM as well as High Bandwidth Memory (HBM) 2 Aquabolt. By accelerating data processing, bypassing server CPU limits and reducing power demands, these products will enable datacenter operators to continue to scale at faster speeds while containing costs.

Samsung’s industry-leading flash memory products for future datacenters will also include Key Value (KV)-SSD and Z-SSD. KV-SSD eliminates block storage inefficiency, reducing latency and allowing datacenter performance to scale evenly when CPU architectures max out. The company’s next-generation Z-SSD will be the fastest flash memory ever introduced, with dual port high availability, ultra-low latency and a U.2 form factor, designed to meet the emerging needs of enterprise clients. Z-SSD will also feature a PCIe Gen 4 interface with a blazing-fast 12-gigabytes-per-second (GB/s) sequential read, which is 20 times faster than today’s SATA SSD drives.

Accelerating Application Learning

A range of revolutionary Samsung solutions will enable the development of upcoming machine learning and AI technologies. The Tech Day AI display highlighted astounding data transfer speeds of 16Gb GDDR6 (64GB/s), ultra-low latency of Z-SSD and industry-leading performance of Aquabolt, which is the highest of any DRAM-based memory solution currently in the market. Together, these solutions help Samsung’s enterprise and datacenter clients open new doors to application learning and create the next wave of AI advancements.

Streamlining Data Flow

Samsung’s new solutions will enable not just faster speeds and higher performance but also improved efficiency for its enterprise clients. Enterprise products on display at Tech Day included D1Y 8Gb DDR4 Server DRAM, which incorporates the most advanced DRAM process, resulting in lower power usage. Samsung’s 256GB 3DS RDIMM also helps to improve enterprise performance and enables memory-intensive servers capable up to 16-terabytes (TB).

Additionally, Samsung’s dual-port x4 PCIe Gen 4 32TB SSD offers 10GB/s performance. Samsung’s 1Tb QLC-SSD presents a cutting-edge storage option for enterprise clients with competitive efficiency when compared to hard disk drives (HDD), while KV-SSD allows server performance to scale even as CPU architectures max out, also providing a competitive TCO, write amplification factor (WAF) improvement and scalability.

Breaking Performance Barriers

With their leading-edge specs, Samsung’s QLC-SSD, Z-SSD and 8GB Aquabolt help high-performance computing clients blast through performance barriers and reach new heights. The 8GB Aquabolt provides the fastest data transmission speed and highest performance of any DRAM-based memory solution on the market today at 307GB/s per HBM cube. QLC-SSD and Z-SSD, both powerful on their own, are also offered in a tiered storage solution that results in a 53-percent increase in overall system performance.

Enabling Future Innovation

Emerging tech requires the most innovative and flexible components. Samsung’s SmartSSD will increase speed and efficiency, and lower operating costs by pushing intelligence to where data lives. Movement of data for processing has traditionally caused increased latency and energy consumption while reducing efficiency. Samsung’s new SmartSSDs will overcome these issues by incorporating an FPGA accelerator into the SSD unit. This allows for faster data processing through bypassing server CPU limits. As a result, SmartSSDs will have higher processing performance, improved time-to-insight, more virtual machines (VM), scalable performance, better de-duplication and compression, lower power usage and fewer CPUs per system.

Unparalleled Product Ecosystem

Samsung’s comprehensive product portfolio with state-of-the-art solutions set new standards for data processing speed, capacity, bandwidth and energy conservation. By leveraging such solutions, data centers, enterprise companies, hyper-scalers and emerging tech platforms are able to configure product solutions based on their requirements and develop exciting new tech offerings such as 5G, AI, enterprise and hyperscale data centers, automotive, networking and beyond.

Samsung will continue to push boundaries in tomorrow’s semiconductor technologies through innovations such as its sixth-generation V-NAND built on a single structure, or with ‘1-stack technology,’ and sub-10nm DRAM with EUV for super-high density and performance.

Experts across the industry, including Apple co-founder, Steve Wozniak, were invited at Samsung Tech Day to address the advancements and challenges in today’s semiconductor market, and offer insights for the future of semiconductors. More than 400 customers, partners and industry influencers attended the event.

Researchers at the universities in Linköping and Shenzhen have shown how an inorganic perovskite can be made into a cheap and efficient photodetector that transfers both text and music. “It’s a promising material for future rapid optical communication”, says Feng Gao, researcher at Linköping University.

The film in the new perovskite, which contains only inorganic elements (caesium, lead, iodine and bromine), has been tested in a system for optical communication, which confirmed its ability to transfer both text and images, rapidly and reliably. Credit: Thor Balkhed

“Perovskites of inorganic materials have a huge potential to influence the development of optical communication. These materials have rapid response times, are simple to manufacture, and are extremely stable.” So says Feng Gao, senior lecturer at LiU who, together with colleagues who include Chunxiong Bao, postdoc at LiU, and scientists at Shenzhen University, has published the results in the prestigious journal Advanced Materials.

All optical communication requires rapid and reliable photodetectors – materials that capture a light signal and convert it into an electrical signal. Current optical communication systems use photodetectors made from materials such as silicon and indium gallium arsenide. But these are expensive, partly because they are complicated to manufacture. Moreover, these materials cannot to be used in some new devices, such as mechanically flexible, light-weight or large-area devices.

Researcher have been seeking cheap replacement, or at least supplementary, materials for many years, and have looked at, for example, organic semi-conductors. However, the charge transport of these has proved to be too slow. A photodetector must be rapid.

The new perovskite materials have been extremely interesting in research since 2009, but the focus has been on their use in solar cells and efficient light-emitting diodes. Feng Gao, researcher in Biomolecular and Organic Electronics at LiU, was awarded a Starting Grant of EUR 1.5 million from the European Research Council (ERC) in the autumn of 2016, intended for research into using perovskites in light-emitting diodes.

Perovskites form a completely new family of semi-conducting materials that are defined by their crystal structures. They can consist of both organic and inorganic substances. They have good light-emitting properties and are easy to manufacture. For applications such as light-emitting diodes and efficient solar cells, most interest has been placed on perovskites that consist of an organic substance (containing carbon and hydrogen), metal, and halogen (fluorine, chlorine, bromine or iodine) ions. However, when this composition was used in photodetectors, it proved to be too unstable.

The results changed, however, when Chunxiong Bao used the right materials, and managed to optimise the manufacturing process and the structure of the film. The film in the new perovskite, which contains only inorganic elements (caesium, lead, iodine and bromine), has been tested in a system for optical communication, which confirmed its ability to transfer both text and images, rapidly and reliably. The quality didn’t deteriorate, even after 2,000 hours at room temperature.

“It’s very gratifying that we have already achieved results that are very close to application,” says Feng Gao, who leads the research, together with Professor Wenjing Zhang at Shenzhen University.

Applied Energy Systems (AES), provider of high and ultra high purity gas systems, services, and solutions – including design, manufacturing, testing, installation, and expert field services – has announced the acquisition of Advanced Research Manufacturing (ARM), Inc., a specialty provider of gas purification systems based in Colorado Springs, CO. ARM, Inc. has been manufacturing high and ultra high purity gas purifiers and gas handling equipment for 20 years and boasts a worldwide installed base of point-of-use, micro-bulk and bulk gas purifiers. AES is a long-time leader in the manufacturing of high and ultra high purity gas and liquid delivery systems, and ARM’s portfolio of solutions will now be offered through AES to supplement and further expand its gas delivery equipment offerings and bring new benefits to customers seeking quality gas handling solutions.

“ARM brings getter, catalyst, and absorber purification technology to Applied Energy Systems that will complement our existing product offerings, allowing AES to provide a more complete and unique solution at a very competitive price,” said Steve Buerkel, President of Applied Energy Systems.

ARM, Inc.’s ultra high purity gas purifiers and associated gas handling equipment are used across the industrial, semiconductor, energy, medical, and pharmaceutical markets both in the U.S. and internationally – the same verticals where AES has a proven track record of enabling safe, precise gas delivery. “There is already a great deal of synergy between the AES and ARM teams in terms of our knowledge of gas handling requirements for innovative processes and applications,” said Jim Murphy, General Manager of AES.  “ARM’s products are a natural extension of our equipment offerings, and together we’ll offer customers our collective expertise to benefit their projects – whether they require gas purification or gas delivery solutions, or both.”

Brian Warrick, ARM, Inc.’s Director of Technology, added: “With AES’ and ARM’s combined resources, the research of new technologies and subsequent development of new products can occur at a more rapid pace. This will enable us to efficiently add to ARM’s existing portfolio of offerings that include purifiers as well as field engineering support.”

“We are extremely pleased to become a part of AES, and look forward to growing our market share in the purification of high and ultra high purity gas,” said Dan Spohn, Director of Global Sales and Market Development at ARM.