Category Archives: Materials and Equipment

Intel Corporation today announced that Omar Ishrak and Greg Smith have been elected to Intel’s board of directors.

“We are very pleased to welcome two new, independent directors with the depth of leadership experience at innovative, global companies that both Mr. Ishrak and Mr. Smith bring,” said Intel Chairman Andy Bryant. “We look forward to their valuable contributions as Intel continues to transform itself for growth in emerging, adjacent market segments.”

Omar-IshrakIshrak, 61, is the chairman and chief executive officer of Medtronic, a global leader in medical technology. He has served in that role since 2011. Prior to joining Medtronic, he spent 16 years in various roles with General Electric Company, most recently as president and chief executive officer of GE Healthcare Systems, a division of GE Healthcare. He is a member of the board of trustees of the Asia Society, which promotes mutual understanding and strengthening partnerships among peoples, leaders and institutions of Asia and the United States in a global context, and a member of the board of directors for Minnesota Public Radio.

Smith, 50, is the chief financial officer and executive vice president of corporate development and strategy at Boeing, the world’s largest aerospace and defense company. He has served as Boeing’s finance leader since 2012 and its strategy leader since 2015. Previously, Smith held various leadership roles across Boeing’s finance function and operations. He rejoined Boeing in 2008 after serving for four years as vice president of global investor relations at Raytheon. Smith serves on the board of trustees for the Chicago Museum of Science and Industry, and the board of directors of the Economic Club of Chicago, the Chicago Botanic Garden and the Northwestern Medicine Community Physicians Group.

At the SEMI Industry Strategy Symposium in Munich, SEMI announced recipients of the European SEMI Award for 2016: Rolf Aschenbrenner, deputy director of the Fraunhofer IZM; Eric Beyne, fellow and program director of 3D System Integration at imec; and Gilles Poupon, CEA fellow on advanced packaging and 3D integration at CEA-Leti. Since 1989, the European SEMI Award has been presented for significant contributions to the European semiconductor and related industries.  The three winners were nominated and selected by peers within the international semiconductor community in recognition of outstanding contributions in the field of 3D Integration.

“While the industry recognizes that SEMI Members imec, Fraunhofer and CEA-Leti are leaders in packaging technologies, the contributions of Rolf Aschenbrenner, Eric Beyne and Gilles Poupon and their teams are groundbreaking and advanced the semiconductor industry,” says SEMI Europe president Laith Altimime.

Rolf Aschenbrenner received a B.Sc. in mechanical engineering in 1986 and an M.Sc. in physics in 1991 from the University of Giessen. In 1994, he joined the Fraunhofer Institute for Reliability and Micro-integration in Berlin (IZM), where he is presently head of the department for chip interconnection technologies, and deputy director of the institute. Rolf Aschenbrenner’s research work spans from manufacturing process fundamentals to applied manufacturing problems. He has made substantial research contributions in thin and flexible electronic assemblies, end the development and analysis of innovative process technologies for all aspects of system level packaging. He served on various committees, and in 2013 he received the IEEE CPMT David Feldman Award.

Eric Beyne obtained a degree in electrical engineering in 1983 and a Ph.D. in Applied Science in 1990, both from the Catholic University Leuven. Since 1986, he has been employed at imec, where he works on advanced packaging and interconnect technologies. Currently, he is imec Fellow and programme director of imec’s 3D-integration programme. For more than ten years, Eric Beyne has been a pioneer in 3D system integration. He is a strong believer in the building of ecosystems in packaging and 3D, and has catalysed cooperation between IC-makers, designers, and Materials and equipment makers.

Gilles Poupon was educated at the University of Grenoble and the Conservatoire National des Arts et Métiers in Paris, where he received an M.Sc. in electrochemistry in 1985. He joined CEA-Leti in Grenoble in 1987. He became manager of the High Density Interconnect and Packaging Laboratory at Leti, where he was involved in the development of flip-chip technology, MEMS packaging and 3D-integration. Currently, he is programme manager on Advanced Packaging at CEA-Leti. Poupon is also a scientific advisor of the Eureka Initiative for Packaging and Integration of Microdevices and Smart Systems, and a member of various other committees involved in packaging and 3-D integration.

The European SEMI Award was established almost three decades ago to recognize individuals and teams who have made a significant contribution to the European semiconductor and related industries. Prior award recipients hailed from these companies: EV Group, Infineon, Semilab, Deutsche Solar, STMicroelectronics, imec, Fraunhofer Institute, and more.

SEMI, the global association connecting and representing the worldwide electronics manufacturing supply chain, today reported that worldwide sales of semiconductor manufacturing equipment totaled $41.24 billion in 2016, representing a year-over-year increase of 13 percent. 2016 total equipment bookings were 24 percent higher than in 2015. The data are available in the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) Report, now available from SEMI.

Compiled from data submitted by members of SEMI and the Semiconductor Equipment Association of Japan (SEAJ), the Worldwide SEMS Report is a summary of the monthly billings and bookings figures for the global semiconductor equipment industry. The report, which includes data for seven major semiconductor producing regions and 24 product categories, shows worldwide billings totaled $41.24 billion in 2016, compared to $36.53 billion in sales posted in 2015. Categories cover wafer processing, assembly and packaging, test, and other front-end equipment. Other front-end includes mask/reticle manufacturing, wafer manufacturing, and fab facilities equipment.

Spending rates increased for Rest of World (primarily Southeast Asia), China, Taiwan, Europe and South Korea while the new equipment markets in North America and Japan contracted. Taiwan claimed the largest market for new semiconductor equipment for the fifth year in a row with $12.23 billion in equipment sales. South Korea remained the second largest market for the second year in a row. The market in China increased 32 percent, surpassing both Japan and North America to become the third largest market. The 2016 equipment markets in Japan and North America fell to fourth and fifth place, respectively. The global other front-end segment decreased 5 percent; the wafer processing equipment market segment increased 14 percent; total test equipment sales increased 11 percent; and the assembly and packaging segment increased 20 percent.

Semiconductor Capital Equipment Market by World Region (2015-2016)

2016
2015
% Change
Taiwan
12.23
9.64
27%
South Korea
7.69
7.47
3%
China
6.46
4.90
32%
Japan
4.63
5.49
-16%
North America
4.49
5.12
-12%
Rest of World
3.55
1.97
80%
Europe
2.18
1.94
12%
Total
41.24
36.53
13%

Source: SEMI (www.semi.org) and SEAJ, March 2017; Note: Figures may not add due to rounding.

By Dr. Phil Garrou, Contributing Editor

walkerJim Walker, who retired from Gartner and is now consulting as World Level Packaging Concepts, gave a plenary talk at the recent IMAPS Device Packaging Conference in Scottsdale on the state of the semiconductor industry which contained some interesting perspectives on emerging new business models.

While Gartner 2020 projections show wireless and computer will still account for ~ 50% of the overall market activity, automotive, storage and industrial will show significant growth (7-9%) between now and then and account for ~ 30% of the total market (combined).

Gartner expects consolidation to continue “…with semi companies sitting on $135B in cash and profit margins decreasing there is a need to diversify into new markets” with specifics including:

– IoT related M&A activity will drive consolidation in MCU, analog and sensor technologies.

– Companies will initiate sale of unprofitable divisions and product lines to prepare themselves for M&A (i.e. make themselves more attractive to be acquired).

– China will continue to buy or invest in U.S. and European companies, even as governments impose restrictions.

5 Year Revenue Growth for Application Markets [source: Gartner]

5 Year Revenue Growth for Application Markets [source: Gartner]

Gartner sees the industries maturation resulting in traditional business models changes. The traditional semiconductor ecosystem is shown below.

The Semiconductor Ecosystem

The Semiconductor Ecosystem

Gartner reports that a relatively new problem for some OEMs and Electronics Brands is that they are being bypassed by a direct relationship between the ODM/EMS Co. and a non- electronics brand owner buyer who could be in any industry. This model emerged with Operator branded handsets, although those were recognizable as say Nokia or Motorola. This (Brand) Direct to ODM/EMS business model is good for chip suppliers but bad for traditional electronics companies.

walker 3B

Another relatively new problem for some chip companies now is that they are being bypassed by a direct relationship between the foundry and the EMS/ODM company and the OEM –the OEM Direct model. These could be chips designed by Apple or Facebook (for example) and manufactured by TSMC.

walker 4

Walker specifically suggests we keep an eye on Hon Hai / Foxconn who appears to be building strong and broad manufacturing capabilities through acquisitions like Japans Sharp (Feb 2016) and bidding on the Toshiba memory business (2017).

Packaging is currently ~17% ($53B) of the $265B electronics market. By 2020, 55% of all packaging is expected to be done at OSATS with foundries like TSMC (and maybe others soon) becoming competitors with their own wafer based packaging offerings like InFO. Walker sees a bright future for IoT packaging, but cautions that it is composed of many small to mid sized applications, not one big one like the smart phone, and thus will require many custom packaging solutions.

Over 60,000 attendees are expected at SEMICON China opening tomorrow at Shanghai New International Expo Centre (SNIEC). SEMICON China (March 14-16) offers the latest in technology and innovation for the electronics manufacturing industry. FPD China is co-located with SEMICON China, providing opportunities in this related market. Featuring nearly 900 exhibitors occupying nearly 3,000 booths, SEMICON China is the largest gathering of its kind in the world.

Worldwide fab equipment spending is expected to reach an industry all-time record, to more than US$46 billion in 2017, according to the latest version of the SEMI (www.semi.org) World Fab Forecast. In 2018, the record may break again, with spending close to the $50 billion mark.  SEMI forecasts that China will be third ($6.7 billion) for regional fab equipment spending in 2017, but its spending in 2018 may reach $10 billion – which would be a 55 percent increase year-over-year, placing China in second place for worldwide fab equipment spending in 2018.

On March 14, keynotes at SEMICON China include SMIC chairman of the Board Zhou Zixue. ASE Group director and COO Tien Wu, ASML president and CEO Peter Wennink, Intel VP Jun He, Lam Research CEO Martin Anstice, TEL CTO Sekiguchi Akihisa and imec president and CEO Luc Van den hove.

SEMICON China programs expand attendees’ knowledge, networking reach, and business opportunities. Programs this year feature a broad and deep range:

  • CSTIC: On March 12-13, the China Semiconductor Technology International Conference (CSTIC) precedes SEMICON China. CSTIC is organized by SEMI and imec and covers all aspects of semiconductor technology and manufacturing.
  • Technical and Business Programs: 
    • March 14: China Memory Strategic Forum.
    • March 15: Building China’s IC Ecosystem, Green High-Tech Facility Forum, and Smart Manufacturing Forum, in addition Power & Compound Semiconductor Forum (Day 1).
    • March 16: Smart Automotive Forum, MEMS & Sensors Conference Asia, plus Power & Compound Semiconductor Forum (Day 2)
  • Tech Investment Forum: On March 15, an international platform to explore investment, M&A, and China opportunities.
  • Theme Pavilions:  SEMICON China also features six exhibition floor theme pavilions: IC Manufacturing, LED and Sapphire, ICMTIA/Materials, MEMS, Touch Screen and OLED.
  • Networking Events: SEMI Industry Gala, China IC Night, and SEMI Golf Tournament

For additional information on sessions and events at SEMICON China 2017, please visit www.semiconchina.org/en/4.

The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $30.6 billion for the month of January 2017, an increase of 13.9 percent compared to the January 2016 total of $26.9 billion. Global sales in January were 1.2 percent lower than the December 2016 total of $31.0 billion, reflecting normal seasonal market trends. January marked the global market’s largest year-to-year growth since November 2010. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry is off to a strong and encouraging start to 2017, posting its highest-ever January sales and largest year-to-year sales increase in more than six years,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Sales into the China market increased by more than 20 percent year-to-year, and most other regional markets posted double-digit growth. Following the industry’s highest-ever revenue in 2016, the global market is well-positioned for a strong start to 2017.”

Year-to-year sales increased substantially across all regions: China (20.5 percent), the Americas (13.3 percent), Japan (12.3 percent), Asia Pacific/All Other (11.0 percent), and Europe (4.8 percent). Month-to-month sales increased in Europe (1.2 percent), but fell slightly in China (-0.2 percent), Japan (-1.6 percent), Asia Pacific/All Other (-1.6 percent), and the Americas (-3.1 percent).

January 2017

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

6.33

6.13

-3.1%

Europe

2.80

2.84

1.2%

Japan

2.84

2.79

-1.6%

China

10.17

10.15

-0.2%

Asia Pacific/All Other

8.86

8.72

-1.6%

Total

31.01

30.63

-1.2%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.41

6.13

13.3%

Europe

2.71

2.84

4.8%

Japan

2.49

2.79

12.3%

China

8.42

10.15

20.5%

Asia Pacific/All Other

7.86

8.72

11.0%

Total

26.89

30.63

13.9%

Three-Month-Moving Average Sales

Market

Aug/Sept/Oct

Nov/Dec/Jan

% Change

Americas

6.06

6.13

1.2%

Europe

2.82

2.84

0.7%

Japan

2.89

2.79

-3.2%

China

9.78

10.15

3.7%

Asia Pacific/All Other

8.88

8.72

-1.8%

Total

30.43

30.63

0.7%

 

“Advanced substrates are the key interconnect component of advanced packaging architectures,” says Andrej Ivankovic, Technology & Market Analyst, Advanced Packaging & Semiconductor Manufacturing at Yole Développement (Yole)Indeed advanced substrates are critical in enabling future products and markets.

To answer to technology evolution and market needs, Yole’s advanced packaging team has established a stand-alone dedicated advanced substrate activity, focused on exploring the market and technologies of PCBs, package substrates and RDLs. And today, the “More than Moore” market research and strategy consulting company announces its first report titled “Advanced Substrates Overview: From IC Package to Board”This technology & market analysis serves as an overview of advanced substrate technologies, markets, and supply chain, to be supported by subsequent in-depth reports.

advanced substrate tech

Advanced substrates are a key enabler of future products and markets. Yole’s analysts offer you a special focus on this industry and its competitive landscape.

Today’s advanced substrates in volume are:
 FC substrates
 2.5D/3D TSV assemblies
 And thin-film RDLs especially for FOWLP advanced packaging platform, below an L/S resolution of 15/15 um and with transition below L/S < 10/10 um.

These advanced substrates are traditionally linked to higher-end logic such as CPUs /GPUs, DSPs , etc. Driven by ICs in the latest technology nodes in the computing, networking, mobile, and high-end consumer market segments (gaming, HD /Smart TV).

Moreover, due to additional form factor and low power demands, WLP and advanced FC substrates are also widespread in majority of smartphone functions. Yole’s analysts identified: application processors, baseband, transceivers, filters, amplifiers, WiFi modules, drivers, codecs, power management, etc.

Future higher-end products will require package substrates with L/S < 10/10 um and boards with L/S < 30/30 um. These demands have given rise to three distinct competition areas:
 Board vs. IC substrate (See the image 1: green & grey zone)
 IC substrate vs. FOWLP (See the image 1: green & orange zone)
 FOWLP vs. 2.5D/3D packaging (See the image 1: yellow & orange zone)

The board vs. FC substrate area is characterized by the transition from the subtractive to the mSAP process, and competition between board and substrate manufacturers. Evaluation of “substrate-like PCBs” is already under way at OEMs, and so too the potential new integration opportunities they could bring. Furthermore, developments in FC substrate, FOWLP, and 2.5D/3D packaging have created an immense competitive arena for L/S < 10/10 um packaging, with a large variety of solutions coming from business models across the supply chain including IDMs, foundries, OSATs, WLP houses, substrate manufacturers, and EMS.

As shown in figure 2, the transition to substrates for ICs below L/S < 10/10 um has begun, led by application processors/basebands in FOWLP and advanced FC substrates, and the first GPUs in 2.5D/3D TSV configuration. The “below L/S < 10/10 um” advanced substrate roadmap is open, with intense R&D underway and each manufacturer developing strategies and targets for their respective solutions….

Yole’s advanced substrates report is an overview of the technology status and market evolution. It will be followed by further in-depth reports. Today, with this first edition, the objective is to provide an overview of board, substrate and RDL interconnects, analyze the technology trends and assess future development of the advanced substrate market. A detailed description of the report is available on i-micronews.com, Advanced Packaging reports section.

The Fan-Out platform’s excitement has clearly caught the attention of the advanced packaging industry as well as advanced substrate manufacturers. Day to day, Yole’s advanced packaging team is enlarging its know-how to understand the technical and economic issues.
Analysts are daily interacting with advanced packaging leaders to turn research results into strategies and define a long-term view of the business.
To point out its commitment towards the advanced packaging community, Yole is playing a key role within the program of the 13th International Conference and Exhibition on Device Packaging (March 6-9, 2017 – Fountain Hills, Arizona USA). The consulting company announces two presentations on March 7:
 What is driving the 3D TSV technologies business? Santosh Kumar, Sr Technology & Market Analyst, Yole
• FOWLP: market & technology trend. Jérôme Azemar, Technology & Market Analyst, Yole

As well as a panel discussion titled “The Fan-Out Breakout” moderated by Jérôme Azemar. Fan-Out is the most dynamic solution in the advanced packaging playground at the moment. Make sure you will get an up-to-date vision of the market and debate with brilliant panelists including:

• Rich Rice, Sr. VP of Business Development, ASE Global
• Islam Salama, Director, Pathfinding Department, Substrate and Packaging Technology Development at Intel
• Johannes Lodermeyer, Wafer Level Technology Development Responsible, Infineon Technologies
• Vinayak Pandey, Product and Technology Marketing Director / Scott Sikorski, Product Technology Marketing Vice-President at JCET / STATS ChipPAC
• And Santosh Kumar, Sr Technology & Market Analyst, Yole

Rice University researchers have modeled a nanoscale sandwich, the first in what they hope will become a molecular deli for materials scientists.

Their recipe puts two slices of atom-thick graphene around nanoclusters of magnesium oxide that give the super-strong, conductive material expanded optoelectronic properties.

Rice materials scientist Rouzbeh Shahsavari and his colleagues built computer simulations of the compound and found it would offer features suitable for sensitive molecular sensing, catalysis and bio-imaging. Their work could help researchers design a range of customizable hybrids of two- and three-dimensional structures with encapsulated molecules, Shahsavari said.

The research appears this month in the Royal Society of Chemistry journal Nanoscale.

The scientists were inspired by experiments elsewhere in which various molecules were encapsulated using van der Waals forces to draw components together. The Rice-led study was the first to take a theoretical approach to defining the electronic and optical properties of one of those “made” samples, two-dimensional magnesium oxide in bilayer graphene, Shahsavari said.

“We knew if there was an experiment already performed, we would have a great reference point that would make it easier to verify our computations, thus allowing more reliable expansion of our computational results to identify performance trends beyond the reach of experiments,” Shahsavari said.

Graphene on its own has no band gap – the characteristic that makes a material a semiconductor. But the hybrid does, and this band gap could be tunable, depending on the components, Shahsavari said. The enhanced optical properties are also tunable and useful, he said.

“We saw that while this single flake of magnesium oxide absorbed one kind of light emission, when it was trapped between two layers of graphene, it absorbed a wide spectrum. That could be an important mechanism for sensors,” he said.

Shahsavari said his group’s theory should be applicable to other two-dimensional materials, like hexagonal boron-nitride, and molecular fillings. “There is no single material that can solve all the technical problems of the world,” he said. “It always comes down to making hybrid materials to synergize the best features of multiple components to do a specific job. My group is working on these hybrid materials by tweaking their components and structures to meet new challenges.”

New window into the nanoworld


February 21, 2017

For the first time ever, scientists have captured images of terahertz electron dynamics of a semiconductor surface on the atomic scale. The successful experiment indicates a bright future for the new and quickly growing sub-field called terahertz scanning tunneling microscopy (THz-STM), pioneered by the University of Alberta in Canada. THz-STM allows researchers to image electron behaviour at extremely fast timescales and explore how that behaviour changes between different atoms.

“We can essentially zoom in to observe very fast processes with atomic precision and over super fast time scales,” says Vedran Jelic, PhD student at the University of Alberta and lead author on the new study. “THz-STM provides us with a new window into the nanoworld, allowing us to explore ultrafast processes on the atomic scale. We’re talking a picosecond, or a millionth millionth of a second. It’s something that’s never been done before.”

Jelic and his collaborators used their scanning tunneling microscope (STM) to capture images of silicon atoms by raster scanning a very sharp tip across the surface and recording the tip height as it follows the atomic corrugations of the surface. While the original STM can measure and manipulate single atoms–for which its creators earned a Nobel Prize in 1986–it does so using wired electronics and is ultimately limited in speed and thus time resolution.

Modern lasers produce very short light pulses that can measure a whole range of ultra-fast processes, but typically over length scales limited by the wavelength of light at hundreds of nanometers. Much effort has been expended to overcome the challenges of combining ultra-fast lasers with ultra-small microscopy. The University of Alberta scientists addressed these challenges by working in a unique terahertz frequency range of the electromagnetic spectrum that allows wireless implementation. Normally the STM needs an applied voltage in order to operate, but Jelic and his collaborators are able to drive their microscope using pulses of light instead. These pulses occur over really fast timescales, which means the microscope is able to see really fast events.

By incorporating the THz-STM into an ultrahigh vacuum chamber, free from any external contamination or vibration, they are able to accurately position their tip and maintain a perfectly clean surface while imaging ultrafast dynamics of atoms on surfaces. Their next step is to collaborate with fellow material scientists and image a variety of new surfaces on the nanoscale that may one day revolutionize the speed and efficiency of current technology, ranging from solar cells to computer processing.

“Terahertz scanning tunneling microscopy is opening the door to an unexplored regime in physics,” concludes Jelic, who is studying in the Ultrafast Nanotools Lab with University of Alberta professor Frank Hegmann, a world expert in ultra-fast terahertz science and nanophysics.

GlobalFoundries_Ajit_ManochSEMI, the global association connecting and representing the worldwide electronics manufacturing supply chain, today announced the appointment of Ajit Manocha as its president and CEO. He will succeed Denny McGuirk, who announced his intention to retire last October. The SEMI International Board of Directors conducted a comprehensive search process, selecting Manocha, an industry leader with over 35 years of global experience in the semiconductor industry.  Manocha will begin his new role on March 1 at SEMI’s new Milpitas headquarter offices.

“Ajit has a deep understanding of our industry’s dynamics and the interdependence of the electronics manufacturing supply chain,” said Y.H. Lee, chairman of SEMI’s board of directors. “From his early days developing dry etch processes at AT&T Bell Labs, to running global manufacturing for Philips/NXP, Spansion, and, as CEO of GLOBALFOUNDRIES, Ajit has been formative to our industry’s growth. Ajit is the ideal choice to drive our SEMI 2020 plan and beyond, ensuring that SEMI provides industry stewardship and engages its members to advance the interests of the global electronics manufacturing supply chain.”

“Beyond his experience leading some of our industry’s top fabs, Ajit has long been active at SEMI and has served on boards of several global associations and consortia,” said Denny McGuirk, retiring president and CEO of SEMI. “Ajit’s experience in technology, manufacturing, and industry stewardship is a powerful combination. I’m very excited to be passing the baton to Ajit as he will continue to advance the growth and prosperity of SEMI’s members.”

“I have tremendous respect for the work SEMI does on behalf of the industry,” said Ajit Manocha, incoming president and CEO of SEMI. “I am excited to be joining SEMI at a time when our ecosystem is rapidly expanding due to extensive innovation on several fronts.  From applications based on the Internet and the growth of mobile devices to artificial intelligence/machine learning, autonomous vehicles, and the Internet of Things, there is a much broader scope for SEMI to foster heterogeneous collaboration and fuel growth today than ever before.  I am looking forward to leading the global SEMI organization as we strive to maximize value for our members across this extended global ecosystem.”

Manocha was formerly CEO at GLOBALFOUNDRIES, during which he also served as vice chairman and chairman of the Semiconductor Industry Association (SIA).  Earlier, Manocha served as EVP of worldwide operations at Spansion. Prior to Spansion, he was EVP and chief manufacturing officer at Philips/NXP Semiconductors. Manocha also held senior management positions within AT&T Microelectronics. He began his career at AT&T Bell Laboratories as a research scientist where he was granted several patents related to microelectronics manufacturing. Manocha holds a bachelor’s degree from the University of Delhi and a master’s degree in physical chemistry from Kansas State University.