Category Archives: Materials and Equipment

3D processing at Tohoku U


February 20, 2017

BY PHIL GARROU, Contributing Editor

At the recent IEEE 3DIC Conference, Koyanagi and co-workers at Tohoku Univ reported on their studies of Ti as a 3D TSV barrier layer.

Cu was substituted in the early 2000s for Al inter- connect wiring which no longer meet the resistivity requirements in the aggressively scaled technology nodes. Cu, which has low electrical resistivity has proved itself as a potential interconnect material, only if necessary barrier layers are in place.

The most serious concern with Cu as interconnect material is the formation of midgap defects in active Si, since it diffuses fast into the Si. Owing to this, the minority carrier life time is reduced several orders even at 200 °C. Moreover, during this diffusion process since Cu travels through SiO2, the insulation nature of SiO2 is degraded which can result in premature dielectric breakdown leading to device failure. The well-known method to prevent Cu diffusing into SiO2 and then in Si is to sandwich an amorphous metal layer between the Cu and SiO2. Required properties of a good barrier layer are low internal film stress, high thermal stability and low resistivity. Metals with high melting points are known to have larger activation energy for the diffusion to take place.

Although Ta is best suited as a barrier material based on melting point, Ta has more integrated film stress than Ti film, i.e. a 200nm-thick sputtered Ta film possesses internal stress of 1.4 GPa, whereas the stress in a similar thickness Ti film is 0.8 GPa . Internal stress is the main cause for the delamination of sputtered Ta films. Thus Ti is a better barrier layer based on internal stress.
One way to improve the barrier performance of Ti, is to use a Ti/TiN structure as barrier layer, but TiN has a large resistivity (p~270 μ.cm) .

The Tohoku group has found a simple method to improve the barrier ability of Ti layer is to anneal the TSV structures in vacuum at temperatures up to 400°C. This results in a significant improvement in leakage current characteristics for SiO2 dielectric. TiSix has been identified at the interface between Cu and SiO2 during the sputter deposition.

Another presentation by Tohoku University examined the reduction of the keep-out-zone in 3DIC by local stress suppression with negative-CTE filler.

The thinning of the IC chips leads to low flexural rigidity of IC chips. In addition, the CTE of the underfill material is larger than that of metal microbumps. In other words, the underfill material shrinks more compared to metal microbumps. IC chips are bent by this shrinkage after the 3D integration process. This CTE mismatch induces local bending stress in thinned Si chips, and in turn effects the MOSFET electrical performance in thinned Si chips.

In general, SiO2 or Al2O3 filler have been introduced into the underfill to reduce the CTE of underfill. High density filler is required to realize a CTE close to the value of the microbumps.

However, it is difficult to use the conventional density underfill for 3D IC with fine pitch microbumps due to its high viscosity. What’s required is a low viscosity low CTE underfill.
The Tohoku group suggests a negative CTE material as the filler to suppress the local bending stress. They used manganese nitride-based negative-CTE material as filler. The CTE of this material is -45 ppm/K at the temperature from 65 °C to 100 °C.

Invensas Corporation, a wholly owned subsidiary of Tessera Holding Corporation (Nasdaq: TSRA), today announced that Teledyne DALSA, a Teledyne Technologies company, has signed a technology transfer and license agreement for Direct Bond Interconnect (DBI) technology. This agreement enables Teledyne DALSA to leverage Invensas’ revolutionary semiconductor wafer bonding and 3D interconnect technologies to deliver next-generation MEMS and image sensor solutions to customers in the automotive, IoT and consumer electronics markets. Teledyne DALSA is an international leader in high performance digital imaging and semiconductors and also one of the world’s foremost pure-play MEMS foundries.

“DBI technology is a key enabler for true 3D-integrated MEMS and image sensor solutions,” said Edwin Roks, president of Teledyne DALSA. “We are excited about the prospect of developing new products and providing new foundry services to our customers that utilize this technology. By working closely with Invensas, we will be able to move more quickly to deploy this capability efficiently and effectively.”

DBI technology is a low temperature hybrid wafer bonding solution that allows wafers to be bonded instantaneously with exceptionally fine pitch 3D electrical interconnect without requiring bond pressure. The technology is applicable to a wide range of semiconductor devices including MEMS, image sensors, RF Front Ends and stacked memory.

“We are pleased that Teledyne DALSA, a recognized leader in digital imaging products and MEMS solutions, has chosen our DBI technology to accelerate the development and commercialization of their next generation MEMS and image sensor products,” said Craig Mitchell, president of Invensas. “As device makers look for increasingly powerful semiconductor solutions in smaller packages, the need for cost-efficient, versatile 3D technologies is greater than ever before. We are confident that the superior performance and manufacturability of DBI technology will help Teledyne DALSA deliver tremendous value to their customers.”

Research and Markets has announced the addition of the “3D IC and 2.5D IC Packaging Market by Application (Logic, Imaging & Optoelectronics, Memory, MEMS/Sensors, LED, Power), Packaging Technology (3D Wafer-Level Chip-Scale Packaging, 3D TSV, 2.5D), End-User Industry, and Region – Global Forecast to 2022” report to their offering.

The market is expected to be worth USD 170.46 billion in 2022, at a CAGR of 38.30% between 2016 and 2022.

The drivers for this market are the increasing need for advanced architecture in electronic products, rising trend of miniaturization of electronic devices, and growing market for tablets, smartphones, and gaming devices. The main restraint for this market is created by the thermal issues caused by higher levels of integration.

The 3D TSV market is expected to grow at the highest CAGR during the forecast period. The major factors driving the 3D IC and 2.5D IC packaging market for 3D TSV include highest interconnect density and greater space efficiencies in 3D TSV compared to all other types of advanced packaging such as 3D WLCSP and 2.5 D.

The demand for 3D IC and 2.5D IC packages in logic is growing because of the high product availability. An increasing number of manufacturers in this market offer innovative products with advanced packaging. For instance, Intel Corp. (U.S.) is driving the market for advanced packaging in field programmable gate arrays (FPGA). Global companies started introducing 3D logic ICs in different programmable logics to ensure operational efficiency with added convenience and increased productivity.

The market in APAC is expected to grow at the highest CAGR because there is a high demand for 3D IC and 2.5D IC packaging technology from the growing consumer electronics sector in this region, particularly for smartphones and tablets. The presence of major 3D IC and 2.5D IC packaging manufacturers and suppliers in this region helps to decrease the time to market for 3D IC and 2.5D IC packaging products. This makes the integration of 3D IC and 2.5D IC packaging technology in the APAC region much easier.

IC Insights’ 20th anniversary, 2017 edition of The McClean Report shows that since 2010, worldwide economic growth has been the primary influencer of IC industry growth.  In this “global economy-driven” IC industry, factors such as interest rates, oil prices, and fiscal stimulus are the primary drivers of IC market growth.  This is much different than prior to 2010, when capital spending, IC industry capacity, and IC pricing characteristics drove IC industry cycles.

Figure 1 plots the actual annual growth rates for worldwide GDP and the IC market from 1992 and includes IC Insights’ 2017 forecast.  As shown, both of these categories displayed extremely volatile behavior from 1992 through 2010 before registering much more subdued growth rates from 2011 through 2016.  Moreover, IC Insights forecasts similar restrained annual growth rates for worldwide GDP and the IC market through 2021.

Figure 1

Figure 1

Some observations regarding worldwide economic growth (GDP) include the following.

•    Since 1980, the annual worldwide GDP growth has averaged 2.8%. The average annual worldwide GDP growth rate has declined every decade since the 1960s with a slight rebound forecast to be registered in the first seven years of the current decade.

•    Worldwide GDP growth of 2.5% or less is currently considered by most economists to be indicative of a global recession, which puts 2016’s growth right at the threshold.  The 2017 global growth rate is forecast to come in only slightly better at 2.6%.  Prior to the late 1990s, when emerging markets like China and India represented a much smaller share of the worldwide economy, a global recession was typically defined as 2.0% or less growth.  The global recession threshold has never been a “hard and fast” rule, but the guidelines discussed here are useful for this analysis.

Figure 2 compares the actual annual growth rates of worldwide GDP and the worldwide IC market from 2011 through IC Insights’ 2017 forecast.  It is worth mentioning that the same scale used in Figure 1 for both worldwide GDP growth (-2% to 5%) and IC market growth (-40% to 50%) was used for this chart.  It is clear when looking at this specific timeperiod and using the historical growth rate scale end points, that IC market and worldwide GDP growth volatility from 2011 through 2017 is expected to be much more tame than in the past.

Figure 2

Figure 2

Worldwide GDP growth rates are expected to range from 2.5% to 3.0% from 2016 through 2021.  IC Insights’ expects the IC market to mirror the narrow range of worldwide GDP growth with forecasted growth rates ranging from a low of 2% to a high of 7% through 2021.

Given the tight correlation between annual worldwide GDP growth rates and IC market growth rates, IC Insights believes that a significant and noticeable IC market cycle will not occur through 2021 unless there is a significant departure from trend, up or down, for worldwide GDP growth (e.g., <2% growth on the low side and >3.0% growth on the high side).

Nexperia, the former Standard Products division of NXP, today announced the formal completion of its launch as a separate entity. Headquartered in Nijmegen, Netherlands and backed by a consortium of financial investors consisting of Beijing Jianguang Asset Management Co. Ltd and Wise Road Capital Ltd, Nexperia is a stand-alone, world-class leader in discretes, logic and MOSFETs, retaining all the expertise, manufacturing resources and key personnel of the former NXP division, while bringing a new focus and powerful commitment to these product areas.

Nexperia, which will produce around 85 billion devices a year and in 2016 had revenues exceeding US$1.1B, addresses three key trends: power efficiency; protection and filtering; and miniaturization. The Automotive sector is very strong for Nexperia and mostof its products are AECQ101 qualified. Other important markets include portable devices, industrial, communications infrastructure, consumer and computing. A significant portion of the company’s revenue is delivered through distribution channels.

Nexperia CEO Frans Scheper, formerly EVP and GM of NXP’s Standard Products Business Unit, comments: “Our history ensures that Nexperia is already regarded as a strong industry leader in discretes, logic and MOSFETs, which consistently delivers highly reliable and innovative products to our global customers. Under the new ownership and with a renewed sense of vigour we will invest in product development and best-in-class manufacturing practices and facilities to ensure that Nexperia becomes the byword for efficiency and quality. Together with our engaged and motivated employees this will enable us on a daily basis to exceed the needs and expectations of our customers.”

Nexperia has two front-end manufacturing facilities, in Manchester, UK and Hamburg, Germany, and three back-end packaging plants in Guangdong, China, Seremban, Malaysia and Cabuyao, Philippines. It currently employs about 11,000 personnel worldwide including an established and successful leadership team. Scheper continues: “Because Nexperia will continue to source its front end and back end production from its current manufacturing sites, there will be no disruption in our supply chain or other processes, so customers and partners can be fully assured that they will continue to receive excellent products and exceptional service.”

The company has an extensive IP portfolio and is certified to ISO9001, ISO/TS16949, ISO14001 and OHSAS18001.

Micron Technology, Inc. today announced the upcoming retirement of its Chief Executive Officer, Mark Durcan. The Board of Directors has formed a special committee to oversee the succession process and has initiated a search, with the assistance of an executive search firm, to identify and vet candidates. The Board has not established a timeframe for this process and intends to conduct a deliberate review of candidates who can contribute to Micron’s future success. Mark Durcan will continue to lead Micron as CEO during this process and will assist the company with its search and subsequent leadership transition.

“Mark Durcan recently discussed with the Board his desire to retire from Micron when the time and conditions were right for the company,” said Robert E. Switz, Chairman of the Board and a member of the search committee. “As CEO, he has successfully guided Micron’s strategy and growth for the past five years and has allowed the company to initiate this transition from a position of strength. The Board is committed to thoughtful long-term succession planning and takes seriously its responsibility to maintain a high-caliber management team and to ensure successful executive leadership transition. We expect Mark to play an instrumental role in securing and transitioning his replacement.”

Micron Technology, Inc., is a global leader in advanced semiconductor systems. Micron’s broad portfolio of high-performance memory technologies—including DRAM, NAND and NOR Flash—is the basis for solid state drives, modules, multichip packages and other system solutions. Backed by more than 35 years of technology leadership, Micron’s memory solutions enable the world’s most innovative computing, consumer, enterprise storage, networking, mobile, embedded and automotive applications. Micron’s common stock is traded on the NASDAQ under the MU symbol. To learn more about Micron Technology, Inc., visit www.micron.com.

Samsung Electronics and Apple remained the top two semiconductor chip buyers in 2016, representing 18.2 percent of the total worldwide market, according to Gartner, Inc. (see Table 1). Samsung and Apple together consumed $61.7 billion of semiconductors in 2016, an increase of $0.4 billion from 2015.

“This is the sixth consecutive year that Samsung Electronics and Apple have topped the semiconductor consumption table,” said Masatsune Yamaji, principal research analyst at Gartner. “While both companies continue to exert considerable influence on technology and price trends for the wider semiconductor industry, their impact has lessened due to falling expectations for future growth.”

Although Samsung Electronics experienced intense competition from Chinese original equipment manufacturers (OEMs) in various markets including smartphones, LCD TV and LCD panel through 2016, the company increased its design total available market (TAM) and came back as the global top design TAM company in 2016 with 9.3 percent share. Apple decreased its design TAM in 2016 for the first time since Gartner started design TAM research in 2007, ending the year with 8.8 percent share of the market. The iPad did not sell well through 2016 and Apple also lost market share in the PC market.

Table 1. Preliminary Ranking of Top 10 Companies by Semiconductor Design TAM, Worldwide, 2016 (Millions of Dollars)

2015 Ranking

2016Ranking

Company

 2015

 2016

Growth (%) 2015-2016

2016 Market Share (%)

2

1

Samsung Electronics

30,343

31,667

4.4

9.3

1

2

Apple

30,885

29,989

-2.9

8.8

4

3

Dell

10,606

13,308

25.5

3.9

3

4

Lenovo

13,535

12,847

-5.1

3.8

6

5

Huawei

7,597

9,886

30.1

2.9

5

6

HP Inc.

8,673

8,481

-2.2

2.5

8

7

Hewlett Packard Enterprises

6,485

6,206

-4.3

1.8

7

8

Sony

6,892

6,071

-11.9

1.8

21

9

BBK Electronics

2,515

5,818

131.4

1.7

9

10

LG Electronics

5,502

5,172

-6.0

1.5

Others

211,736

210,238

-0.7

61.9

Total

334,768

339,684

1.5

100.0

Note: Numbers may not add to totals shown because of rounding.
Source: Gartner (February 2017)

Nine of the top 10 companies in 2015 remained in the top 10 in 2016. Cisco Systems dropped out of the top 10 in 2016 to be replaced by Chinese smartphone OEM, BBK Electronics, which grew rapidly in 2016. The top 10 now consists of four companies from the U.S., three companies from China, two from South Korea and one from Japan. This is the first time that three Chinese companies have ranked in the top 10, proving that even with the slowing macroeconomic situation in China, the importance of the Chinese electronics market is increasing.

“Even though the influence on the semiconductor industry of the top two strongest OEMs is weakening, the combined design TAM of the top 10 companies outperformed the average growth rate of the total semiconductor market in 2016,” said Mr. Yamaji. “However, semiconductor chip vendors can no longer secure their businesses by relying on a few strong customers because market share changes much faster these days. BBK Electronics grew very fast in 2016 and increased its design TAM, but this extraordinarily fast growth also underlines how volatile the businesses in China can be. Technology product marketing leaders at semiconductor chip vendors need to take the risks of their major customers into account, and always try to diversify their customer base.”

Technavio’s latest market research report on the global field-programmable gate array (FPGA) market provides an analysis of the most important trends expected to impact the market outlook from 2017-2021. Technavio defines an emerging trend as a factor that has the potential to significantly impact the market and contribute to its growth or decline.

Sunil Kumar Singh, a lead analyst from Technavio, specializing in research on semiconductor equipment sector says, “The global FPGA market is expected to grow at a CAGR of close to 9% during the forecast period. The market is witnessing growth due to increased adoption in flourishing end-user segments such as telecommunications and consumer electronics. Demand for optimization in big data analytics is also leading to increased use of FPGAs in networking and storage applications.”

The top three emerging trends driving the global FPGA market according to Technavio hardware and semiconductor research analysts are:

  • Increased proliferation of IoT
  • Automation in automobiles
  • Increasing number of embedded processors in FPGA design

Increased proliferation of IoT

IoT is the latest trend in the global technology arena. IoT connects all essential home devices to the internet. This includes car, TV, laptop, coffee maker, automated door locks, GPS-enabled pet trackers, wearable devices, and mobile phones, forming a network of connected devices.

IoT comprises a staggering list of applications ranging from smart consumer electronics to wearables and automobiles. Designers must tackle significant implementation challenges, to deal with interfaces that are incompatible to IoT configuration, and create future systems that can accommodate billions of more devices and their performance requirements and processes. An FPGA-based design approach will assist in addressing these challenges due to their key ability of reprogrammability and low power consumption.

Automation in automobiles

Leading manufacturers such as Toyota, Audi, and Mercedes have invested considerable resources dedicated to R&D of automobile automation. Next-generation automobiles are expected to include speech recognition and video and image compatibilities, to provide optimal driving experience.

FPGAs allow multi-threading, which enables them to perform different functions in parallel. For instance, in Park Assist app, pictures are captured by cameras and are sent to a data fusion module. The data fusion module processes the image to display the corners of a car on the screen.

“Image processing functions such as image warping, analytics and object classification, and high dynamic range could be implemented on one chip using an FPGA due to its multi-threading feature. This allows fast booting of videos, enabling FPGAs to be widely used for surround-view camera systems and night vision systems in automobiles,” says Sunil.

Increasing number of embedded processors in FPGA design

Since 2012, the global FPGA market is witnessing an increase in the number of embedded processors in FPGA designs, to support growing demand for multiple function capability of FPGAs. This demand is further driven by emerging technologies such as IoT and new age smart devices such as wearables and their demanding processor requirements. FPGA modules can reduce power consumption and at the same time, improve on performance to deliver optimum output in reduced time.

By Denny McGuirk, SEMI president and CEO

“Do not go where the path may lead, go instead where there is no path and leave a trail.”  Attributed to Ralph Waldo Emerson, this could be the credo of our industry.  Moore’s Law has created $13 trillion of market value and we’ve been pioneering the way forward – since even before Gordon Moore made the famous “observation” that became Moore’s Law more than 50 years ago.  Our industry paved the road forward with advancements in design, materials, processing, equipment, and integration, traveling at the speed of exponential growth number in transistors per chip (doubling approximately every two years).

Today, globally, we’re shipping more than one trillion ICs per year!  Leading-edge chips boast more than 10 billion transistors at the advanced 10nm (gate length) technology node and are made with 3D FinFET architectures formed by 193nm wavelength immersion multi-patterning lithography.  It’s become a very challenging – and very expensive – road (a single lithography tool alone costs in the tens of millions of dollars).  The companies building the road ahead are bigger and fewer as massive bets now need to be placed on new fabs costing more than $5 billion and even $10 billion and where a new single chip design alone costs more than $150 million to bring into production.

What follows, in Part 1 of this two-part article, is a quick look back at the industry in 2016 and the road ahead in 2017 followed by what SEMI achieved in 2016 and where SEMI’s road will lead in 2017 to keep pace our industry charging forward where there is no path. Part 2 (next week’s Global Update) will focus on SEMI 2020 initiatives.

A look back at 2016: “Straight roads do not make skillful drivers”

2016 was definitely not a straight road; truly it was a wild ride – so, SEMI members have become extremely skilled drivers. The semiconductor manufacturing industry had a slow first half with pessimism building throughout the first quarter, but by April semiconductors bottomed and NAND investment and a slate of new China projects drove a strong second half.  For semiconductor equipment, SEMI’s statistics indicate global sales in 2015 were $36.5 billion and 2016 came in at $39.7 billion, ultimately ending up about 9 percent.  For reference semiconductor materials in 2015 was $24.0 billion and 2016 came in at $24.6 billion, up nearly 2.6 percent year-over year (YoY).

But, it turns out, that’s not half the story.  2016 was full of surprises.  At the geopolitical level, Brexit, an impeachment in South Korea, and a Trump win were wholly unanticipated and leave a lot of questions as to how that road ahead might look.  In technology, the Galaxy Note 7 mobile phone became an airline hazard announcement and stalwarts like Yahoo! faded into the background (now part of Verizon).  In part due to challenges of the road ahead (and because the cost of capital remained low) M&A fever continued in semiconductors with more than $100B in deals announced in 2016.

It was an astonishing year for combinations with huge deal announcements such as Qualcomm buying NXP for $47 billion and SoftBank buying ARM for $32 billion.  Meanwhile, mergers in the equipment and materials space continued, to name a few notables ASML’s acquisition of Hermes Microvision, DuPont and Dow announcing the intent to merge (announced December 2015, but still in the works), and Lam Research and KLA-Tencor ultimately calling off their deal due to complications of regulatory pushback.  The extended supply chain was mixing things up, too, with acquisitions like the announcement by Siemens to acquire Mentor Graphics.  It has been very active, overall.  This was the second year of semiconductor M&A deals valued at more than $100 billion, a signal that size and scale is critical to build the road ahead.

A look ahead: “Difficult roads often lead to beautiful destinations”

With all the talk about roads, it’s no surprise that the automotive segment is gathering momentum as a strong growth driver for the electronics supply chain.  Not only is there increasing electronics content in cars for comfort and infotainment, but also for assisted and autonomous driving and electric vehicles which are ushering in a new era of electronics consumption.

Along with automotive, IoT (Internet of Things), 5G, AR/VR (Augmented Reality and Virtual Reality), and AI (Artificial Intelligence) round out a set of powerful IC and electronics applications drivers (see figure).  Per an IHS Study, 5G alone may enable as much as $12.3 trillion in goods and services in 2035. Gartner’s most recent forecast is cause for optimism further down the electronics manufacturing supply chain.  Gartner see IC revenue growing from 2016’s $339.7 billion to 2017’s $364.1 billion up 7.2 percent and growing further in 2018 at $377.9 billion up 3.8 percent.  For semiconductor equipment, SEMI’s forecast indicates 2015 was $36.5 billion, 2016 will come in at $39.7 billion, and 2017 is projected to be $43.4 billion, pointing to both 2016 and 2017 experiencing approximately 9 percent YoY growth.

In 2017, China investment is projected to continue as a major driver, likely consuming over 16 percent of the total global equipment investment (second only to South Korea).  SEMI is currently tracking 20 new fab projects.  Investments come from both multinationals and local Chinese ventures.  A sign of the rise of China is China’s upward production share trend of its own IC consumption market (IC Insights): 8 percent in 2009, 13 percent in 2015, and 21 percent in 2020. Further down in the electronics supply chain, fab equipment related spending in China will rise to more than $10 billion per year by 2018 and remain at that level or above for subsequent years.

NAND will continue to be a major driver with 3D NAND investment leading the way.  Silicon in Package (SiP) and heterogeneous integration will increasingly be solutions to augment traditional feature scaling to fit more transistors into less space at lower costs.  Materials innovations will be relied upon to solve front-end and packaging challenges while standard materials will be the focus of increased efficiencies and cost reduction. 200mm fab capacity will grow and stimulate new 200mm investment with upside driven by power devices and MEMS segments.  Investment in foundry MEMS will grow by an estimated 285 percent (2015 to 2017).

“There are far better things ahead than any we leave behind”

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

As a reminder, here are SEMI’s mission, vision, and 2020 strategic focus areas.

  • Mission — our focus for the next five years
    • SEMI provides industry stewardship and engages our members to advance the interests of the global electronics manufacturing supply chain.
  • Vision — what we stand for
    • SEMI promotes the development of the global electronics manufacturing supply chain and positively influences the growth and prosperity of its members.  SEMI advances the mutual business interests of its membership and promotes a free and open global marketplace.
  • Members’ Growth — 2020 strategic focus
    • SEMI enables member growth opportunities by evolving SEMI communities and building new communities across the global electronics manufacturing supply chain via cooperation, partnerships, and integration.
  • Members’ Prosperity — 2020 strategic focus
    • SEMI enables members to prosper by building extended supply chain collaboration forums providing opportunities to increase value while optimizing the supply chain for SEMI members.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and in next week’s e-newsletter Global Update, I’d like to update you on what we’ve accomplished and what’s to come.

According to the latest market study released by Technavio, the global semiconductor chip packaging market is expected to grow at a CAGR of more than 31% during the forecast period.

This research report titled ‘Global Semiconductor Chip Packaging Market 2017-2021’ provides an in-depth analysis of the market in terms of revenue and emerging market trends. This market research report also includes up to date analysis and forecasts for various market segments and all geographical regions.

The global semiconductor chip packaging market is dominated by APAC, which holds more than 71% of the total market share. The presence of many prominent semiconductor foundries is driving the market in the region.

One of the important driving factors of the semiconductor chip packaging market is the high adoption of semiconductor ICs in automobiles. The increasing automation of automobiles is creating high demand for semiconductors for use in automotive products such as GPS, airbag control, anti-lock braking system (ABS), infotainment, and collision detection technology, which is beneficial for the market growth.

Based on packaging techniques, the report categorizes the global semiconductor chip packaging market into the following segments:

  • 3DIC TSV stacks
  • Flip-chip wafer bumping
  • 2.5D interposers
  • 3D WLP
  • Fan-in WL CSP
  • FO WLP/Sip

The top three revenue-generating packaging technique segments in the global semiconductor chip packaging market are discussed below:

3DIC through-silicon via (TSV) stacks

The 3DIC through-silicon via stacks packaging technique will be responsible for generating almost 75% of the market revenue by 2021, posting a CAGR of 45% through the forecast period. This high adoption of TSV platforms is pushed by the growing need to increase functionalities, performance, and integration,” says Sunil Kumar Singh, one of the lead analysts at Technavio for semiconductor equipment research.

Form factor and cost reduction of the TSV platforms also play an important part in its rising adoption. This technology is emerging as one of the most crucial platforms for high-end memory applications, heterogeneous interconnection with micro-electro-mechanical systems (MEMS), sensors, radio frequency (RF) filters, and performance applications.

Flip-chip wafer bumping

Flip-chip or controlled collapse chip connection (C4) is used to solder connections between semiconductor devices, such as IC chips and micro-electro-mechanical systems (MEMS), and an external circuit. This technology reduces power consumption by a great extent and also offers high-frequency transmission, which attracts a higher number of end-users to adopt this technology.

2.5D interposers

The increasing number of devices with access to the internet is creating additional bandwidth needs, which supports high-performance computing and cloud infrastructure. The growing popularity of connected cars is also a major driver of streaming bandwidth. Silicon interposer packaging architectures are being developed and manufactured to meet these continually increasing bandwidth requirements.

2.5D silicon interposers manufactured using four-metal layer back-end-of-line process has achieved data rates up to 11.5 Gbps. These impressive statistics are pushing for the high adoption of the 2.5D interposers packaging technique,” says Sunil.

The top vendors highlighted by Technavio’s research analysts in this report are:

  • Applied Materials
  • ASM Pacific Technology
  • Kulicke & Soffa Industries
  • TEL
  • Tokyo Seimitsu