Category Archives: Materials and Equipment

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2017.

Driving the industry forward with materials engineering

Raja_Prabu_fullPrabu Raja, vice president and general manager, Patterning and Packaging Group, Applied Materials, Inc.

Over the past few years, the industry has made remarkable progress in bringing 3D chip architectures to volume production. In 2017, we will continue to see exciting technology innovations for scaling 3D NAND devices to 64 layers, ramping the 10nm process node into volume manufacturing and increasing the adoption of highly integrated chip packages.

With the transition to the 3D and sub-10nm era, the semiconductor world is changing from lithography-based scaling to materials-enabled scaling. This shift requires multiple new materials and capabilities in selective processing.

The magnitude and pace of these changes are truly disruptive. For example, with 3D NAND materials innovations for hard mask deposition and hard mask etch are essential. The challenge is to build high aspect ratio vertical structures with uniform profiles from the top to the bottom as more layers are added. Selective removal processes can remove targeted materials in vertical and horizontal structures without damage or residue throughout the stack.

For logic/foundry, the introduction of the 10nm process node in volume manufacturing brings significant growth in the number of patterning steps. This trend will increase even more for 7nm and below designs. Patterning these advanced nodes requires innovative etch capabilities to deliver feature-scale uniformity with low line edge roughness. Selective processes and alternative manufacturing schemes will also be needed as the industry seeks solutions for layer-to-layer vertical alignment. We expect this to result in a two-fold increase in the number of materials to be deposited and removed.

Finally, the industry will continue to adopt new and improved packaging schemes for enabling increased device performance, lower power consumption and to deliver desired form factors. In 2016, we saw the volume adoption of Fan-Out packaging in mobile devices and this trend is expected to grow further in 2017. The high performance computing segment will pursue 2.5D interposer and/or 3D TSV packaging schemes for higher memory bandwidth, lower latency and better power efficiency.

Applied Materials is focused on delivering game-changing selective process technologies and materials innovations to help solve the industry’s toughest challenges.

Amtech Systems, Inc. (NASDAQ:  ASYS), a global supplier of production equipment and related supplies for the solar, semiconductor, and LED markets, today announced fiscal year 2017 year-to-date order bookings through January 20, 2017 are approximately $84 million.  This includes solar orders of $60 million.   The solar bookings include major wins for the Company’s high productivity PECVD platform with top tier customers in ChinaMalaysia, and Taiwan and an n-type bi-facial turnkey order from a new customer in China.  The majority of the orders are expected to ship within the next six to nine months.

Fokko Pentinga, CEO and President of Amtech, commented, “These competitive wins are a direct result of our ongoing investment program and a clear testament to the Company’s ability to meet the market’s expectations as they selectively invest in next-generation technology solutions.  Our newly introduced PECVD platform is recognized as a compelling solution to increase the efficiency in solar cell manufacturing while lowering the cost of ownership.  The continuing development of our advanced n-type technology led to this turnkey order from a customer who will use the technology for Bi-Facial glass-glass module design in the first of a multi-phase 1GW cell and module expansion.  We believe that Amtech has the right mix of n-type and PERC cell technologies for this expanding global solar market where success is driven by the best next-gen technology solutions.  Recently, we have experienced increased customer interest in our n-type technology.  As the solar market looks to the future, we believe n-type cell technology has the best roadmap to higher efficiency.”

Fire, rain, and M&A 


January 19, 2017

By SEMI staff

The expert panel, “The Future of M&A in the Semiconductor Industry,” was a hot topic at SEMI’s Industry Strategy Symposium (ISS) conference on January 11.  So hot, it seems, that midway through the panel discussion, a fire alarm triggered and the whole group stepped outside for a quick breather.  Fortunately, this came at a break in the almost nonstop rain – that felt as though the Ritz Carlton might wash off the bluffs of Half Moon Bay.

fire rain

The rain couldn’t put a damper on the mood, though.  Forecasters throughout the conference revised upwards their 2016 results and 2017 forecasts (http://www.semi.org/en/semi-iss-2017-uncovers-new-growth-forecast-upgrades-1) and Diane Bryant, EVP and GM of Intel’s Data Center Group sparked the audience with an amazing keynote that made clear this is the best time ever to be in the semiconductor manufacturing supply chain.

But, how that industry might look in the future was the business of the M&A panel moderated by Robert Maire of Semiconductor Advisors with experts:

  • Patrick Ho, senior research analyst, Semiconductor Capital Equipment at Stifel Nicolaus
  • John Ippolito, VP Corporate Development at MKS Instruments
  • Israel Niv, former CEO of DCG Systems
  • Tom St. Dennis, chairman of the Board of FormFactor.

Will the huge deals of 2015 and 2016 continue?

Setting up the panel, Maire observed that 2015 and 2016 were huge in transaction size (over $100 billion announced in 2015), but while the values of the deals have jumped, the number of deals has remained fairly consistent over the past several years. Also, China has more significantly moved into the M&A market in 2015, in the range $4 to $5 billion.

It appears that M&A will continue, but not at the same pace as 2015 and 2016 due to increasing political, regulatory, and industry pushback.  In the equipment space, while big deals such as Advantest and Verigy were possible in 2011, the current climate has seen big deals falter including Applied Materials and Tokyo Electron; Lam Research and KLA-Tencor; and Aixtron and Fujian Grand Chip.

However, Maire observed that the motivations for M&A continue; for instance, Intel needs to offset a declining PC market and ramp IoT, VR, and Cloud activity and will likely consider M&A as part of its approach.  Similarly, opportunities for equipment companies to increase scale and size exist for process control companies and in the back-end segment where further consolidation appears necessary.

China becomes a player

China’s ambitions in M&A may have been complicated by recent events, but with a $150 billion investment fund there are likely more opportunities ahead.  China has stated the intent to move from producing just 10 percent of its IC consumption to 70 percent in ten years and catching up technologically by 2030.  While some see concerns given China’s investment and later pricing collapses in FPD, PV, and LED, others see China’s efforts to increase its indigenous production of ICs as similar to what has happened as the industry spread from U.S. and Europe to Japan, Taiwan, and Korea.

The panel responded to questions from Maire, questions submitted from the audience, and live audience questions.  Ho noted that big deals in semiconductor equipment appear, for the time being, to be difficult or over.  However, there is still low-hanging fruit and smaller deals.  There is a need to focus on scale and size because customers (IC manufacturers) are bigger and fewer.  For example, Form Factor’s combination with Cascade brought size and scale and enabled Form Factor to be more competitive.

The future for semiconductor equipment consolidation

Several questions revolved around where M&A would happen in the semiconductor equipment space.  There was general consensus that M&A of any of the “big five” (not named, but likely ASML, Applied Materials, Lam Research, Tokyo Electron, and KLA-Tencor) were off the table in the short term due to both regulatory pressure and industry pushback given fears of overly strong supplier power.  Niv thought there were opportunities for consolidation in the metrology and process control space.  Ippolito thought there might be further consolidation opportunities in motion control.  St. Dennis thought there were opportunities throughout the whole supply chain.  He pointed out that the benefits of acquiring a good company were significant, including great talent (difficult and time consuming to develop organically), synergies in not just SG&A, but in technology and field organizations.

The role of private equity was raised.  Ippolito noted that the private market and private equity have roles to play in consolidation opportunities, noting the success of Atlas Copco with Edwards Vacuum and Oerlikon Leybold as an example.

Several questions focused on China.  Niv pointed out the industry needs to think about China similar to how they thought about Japan when Japan was emerging as an IC manufacturing power.  Partnering with Japanese companies was an effective strategy for many and brought long-term success in that market.  Ippolito thought that very large China deals might be off the table for a while, but smaller deals would likely go through.  He noted that $150 billion (the China investment fund) is a lot of money and that tends to find a way forward.

Size matters

The panel seemed to agree size matters.  Niv observed that deals have to be the right size to be digestible with a deal of 10 percent size ratios being easier than other ratios.  Niv noted that one cannot realistically aspire to be acquired by Applied Materials at a revenue of only $20 to $30 million.  For this size, he advised that you are better off getting there by first being an aggregator.  Ho expanded on this by noting that small cap equipment companies can’t attract the attention of the “big five.”  $200 million of revenue only gives the “big five” about a penny of accretion.  For MKS Instruments, the deal with Newport was positive because it added almost $1 in accretion and is an example of a better match in size.

It was a testament to the keen interest in the M&A panel that after the fire alarm evacuation, virtually everyone returned and the audience was nearly immediately again fully engaged in trying to understand what stamp M&A will next leave upon future of the industry.  If we learned anything in 2016, it is that surprises will happen (so it seems, fire alarms will ring when you least expect them).  And, predicting rain, like predicting which deals will go through in a fundamentally new geopolitical environment, will be a guessing game.  However, there’s no doubt that M&A will continue and the opportunities ahead of us will rewrite our industry map.

For information on SEMI, visit www.semi.org and follow SEMI on LinkedIn and Twitter. For the SEMI event calendar, visit www.semi.org/en/events.

Dow Corning significantly expanded the design flexibilities for LED packaging manufacturers today with the addition of three new highly reflective silicone coatings to its fast-growing portfolio of advanced LED solutions. Offering targeted solutions for cutting-edge LED designs – such as chip scale (CSP) and chip on-board (COB) packaging – the three new products also deliver versatile processing options ranging from conventional dispensing to emerging printing methods.

All introduced under the Dow Corning label, the three new products include WR-3001 Die Edge Coat, WR-3100 Die Edge Coat and WR-3120 Reflective Coating. In the future, products are planned to be added to match customer required processes.

“Manufacturers are aggressively seeking to design smaller, more efficient and cost-effective LED packages, which is driving demand for advanced new reflective materials that enable evolving application processes such as printing, and withstand increasingly stringent operating conditions,” said Takuhiro Tsuchiya, global marketing manager at Dow Corning. “These three cutting-edge coatings are only the first of a range of new products that we have in store for the industry. A proactive and collaborative innovator, Dow Corning formulated these three reflective silicone coatings specifically to help customers overcome today’s greatest design challenges and deliver highly reliable and differentiated products in the fiercely competitive LED market.”

As with all of Dow Corning reflective materials, the three new grades maintain high reflectivity at low thicknesses and retain their performance at sustained temperatures of 150°C – a temperature at which many organic coatings crack and yellow. Listed in order of increasing hardness, the new products include:

  • WR-3001 Die Edge Coat targets high-power CSP applications that demand LED materials with high thermal- and photostability. It is compatible with conventional dispensing processes.
  • WR-3100 Die Edge Coat is formulated for CSP applications and low- to middle-power LED package designs. Compatible with conventional dispensing equipment, it delivers comparatively high hardness of Shore D 65 after cure, making it suitable with chip dicing processes.
  • WR-3120 Reflective Coating also provides high thermal- and photostability suitable for high-power LED packaging applications, as well as the highest hardness of Dow Corning’s three new products. Suitable for printing processes, this advanced silicone further offers the highest reflectivity for enhanced LED performance.

A market leader in materials, expertise and collaborative innovation for LED lighting concepts, Dow Corning offers solutions that span the entire LED value chain, adding reliability and efficiency for sealing, protecting, adhering, cooling and shaping light across all lighting applications.

This week, Future Market Insights (FMI) releases its latest report on the semiconductor assembly and testing services market. The global market for semiconductor assembly and testing services (SATS) will continue to be primarily driven by the surging demand for high-end packaging solutions. The global semiconductor assembly and testing services market will possibly reach a value of US$ 24.72 Bn by 2016 end. The market will gain continued traction communication vertical. Asia Pacific will remain the most attractive market for semiconductor assembly and testing services.

Increased demand for outsourced SATS or OSAT services will be a remarkable trend favoring the growth of the global SATS market. With the rapidly thriving consumer electronics industry, the demand for connectivity and mobility is also on the rise, which is foreseen to be an important booster to the demand for connected devices, eventually fostering the semiconductor assembly and testing services market. Rising adoption of multimedia technology devices is identified to be another factor bolstering the demand for SATS. A number of SATS providers offer value added services, such as in-house testing and high-end packaging, which will remain an important driver to the market growth. Several integrated design manufacturers are increasingly prioritising semiconductor assembly and testing services as a time-efficient alternative.

Moreover, rising demand for automotive safety systems is expected to be a strong factor providing impetus to the SATS market. Due to higher costs associated with larger wafer fabrication factory, manufacturers are largely inclined toward outsourcing semiconductor assembly and testing services to third party providers. Leading fabless companies will continue to outsource everything, including testing, assembly, and packaging of semiconductor. This will favour the market growth. Rising adoption of automotive electronics and promising emergence of next-generation electronic vehicles are likely to boost the market growth further.

However, high capital costs related to high-end packaging solution provision, volatility of prices in the market, and uncertainty in exchange rates will continue to pose a negative impact on the global SATS market growth.

By service, assembly and packaging segment will continue to be dominant over the testing segment, prominently driven by the rising demand for consumer electronics and advanced packaging solutions.

On the basis of packaging solution, the copper wire and gold wire bonding segment is expected to retain the leading segment position with over 53% market value share, accounting for the revenues of around US$ 13.24 Bn in 2016. However, the growth of this segment is likely to witness sluggish growth post-2016. The flip chip segment is foreseen to exhibit a robust growth rate, contributing around 18% share to the entire market revenues in 2016. This segment will witness an impressive Y-o-Y growth of 8.6% in 2017 over 2016.

Based on application, communication segment is projected to remain dominant, whereas consumer electronics application segment is likely to register a stellar growth rate in terms of Y-o-Y.

By regional analysis, the global semiconductor assembly and testing services market is segmented into four key markets viz. North AmericaEuropeAsia Pacific, and Middle East and Africa. APAC will remain the dominant market with over 84% market value share in 2016 but is anticipated to witness a consistent Y-o-Y decline post-2016. On the other side, North America is likely to see a consistent gain in the Y-o-Y growth post-2016. This region will account for over 31% share of the market in 2016, in terms of revenues.

Some of the key companies operating in the global marketplace for semiconductor assembly and testing services (SATS), include Amkor Technologies Inc., ASE Group, Silicon Precision Industries Co. Ltd., STATS ChipPAC Ltd. (JCET), Psi Technologies Inc. (IMI), Powertech Technology Inc., Global Foundries, CORWIL Technology corporation, and Chipbond Technology Corporation.

Long-term Outlook: By 2021 end, the global semiconductor assembly and testing services (SATS) market is expected to account for US$ 39.05 Bn in terms of revenues.

The Delivery Systems and Services Group (DS&S) of Versum Materials, Inc. (NYSE: VSM) has realized an important milestone: the sale and commissioning of its 200th CHEMGUARD Gen III high purity, liquid delivery system since the product line launched in early 2016. The 200 units are now running in the latest high-volume semiconductor fabs globally.

Built on a legacy of more than 30 years of supplying advanced materials and delivery systems to the global semiconductor and electronics markets, Versum’s DS&S Group continues to design and build safer, more reliable delivery equipment for high purity gas and liquid distribution.

Jeff Chung, DS&S Asia sales manager, said “the CHEMGUARD Gen III system has been very well received by all our major customers, especially with its new, built-in redundancy.”

The CHEMGUARD product family was first launched in 1999 under the Schumacher brand. David Eshelman, product manager, explained, “Our customers’ original requests for improved safety and uptime help create the CHEMGUARD system,” he said. Eshelman explained that those requirements still exist today, but at each node the molecules and processes used have become much more technically challenging. “The specific needs of today’s specialty, flammable or highly-energetic molecules have been addressed by the unique CHEMGUARD Gen III model designs,” he said.

Capitalizing on its materials and delivery systems expertise, Versum Materials recently created an experienced, multi-disciplined team to review new molecules as they ramp and gain market acceptance. The team evaluates the molecule safety and process needs and helps speed time-to-market for new molecules and processes by having a delivery solution ready when technology ramps.

“When our team says ‘go’ we know that the molecule is ‘CHEMGUARD Ready’,” said Eshelman. “The next node is right around the corner. We want the market to know that the established CHEMGUARD system continues to advance to meet the demands of a changing marketplace.”

From the ground-breaking research breakthroughs to the shifting supplier landscape, these are the stories the Solid State Technology audience read the most during 2016.

#1: Moore’s Law did indeed stop at 28nm

In this follow up, Zvi Or-Bach, president and CEO, MonolithIC 3D, Inc., writes: “As we have predicted two and a half years back, the industry is bifurcating, and just a few products pursue scaling to 7nm while the majority of designs stay on 28nm or older nodes.”

#2: Yield and cost challenges at 16nm and beyond

In February, KLA-Tencor’s Robert Cappel and Cathy Perry-Sullivan wrote of a new 5D solution which utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

#3: EUVL: Taking it down to 5nm

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years, SEMI’s Deb Vogler reported in May.

#4: IBM scientists achieve storage memory breakthrough

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM).

#5: ams breaks ground on NY wafer fab

In April, ams AG took a step forward in its long-term strategy of increasing manufacturing capacity for its high-performance sensors and sensor solution integrated circuits (ICs), holding a groundbreaking event at the site of its new wafer fabrication plant in Utica, New York.

#6: Foundries takeover 200mm fab capacity by 2018

In January, Christian Dieseldorff of SEMI wrote that a recent Global Fab Outlook report reveals a change in the landscape for 200mm fab capacity.

#7: Equipment spending up: 19 new fabs and lines to start construction

While semiconductor fab equipment spending was off to a slow start in 2016, it was expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

#8: How finFETs ended the service contract of silicide process

Arabinda Daa, TechInsights, provided a look into how the silicide process has evolved over the years, trying to cope with the progress in scaling technology and why it could no longer be of service to finFET devices.

#9: Five suppliers to hold 41% of global semiconductor marketshare in 2016

In December, IC Insights reported that two years of busy M&A activity had boosted marketshare among top suppliers.

#10: Countdown to Node 5: Moving beyond FinFETs

A forum of industry experts at SEMICON West 2016 discussed the challenges associated with getting from node 10 — which seems set for HVM — to nodes 7 and 5.

BONUS: Most Watched Webcast of 2016: View On Demand Now

IoT Device Trends and Challenges

Presenters: Rajeev Rajan, GLOBALFOUNDRIES, and Uday Tennety, GE Digital

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology.

Also, manufacturers seek increased visibility and better insights into the performance of their equipment and assets to minimize failures and reduce downtime. They wish to both cut their costs as well as grow their profits for the organization while ensuring safety for employees, the general public and the environment.

The Industrial Internet is transforming the way people and machines interact by using data and analytics in new ways to drive efficiency gains, accelerate productivity and achieve overall operational excellence. The advent of networked machines with embedded sensors and advanced analytics tools has greatly influenced the industrial ecosystem.

Today, the Industrial Internet allows you to combine data from the equipment sensors, operational data , and analytics to deliver valuable new insights that were never before possible. The results of these powerful analytic insights can be revolutionary for your business by transforming your technological infrastructure, helping reduce unplanned downtime, improve performance and maximize profitability and efficiency.

By Christian G. Dieseldorff, Industry Research & Statistics Group at SEMI 

Data from SEMI’s recently updated World Fab Forecast report reveal that 62 new Front End facilities will begin operation between 2017 and 2020.  This includes facilities and lines ranging from R&D to high volume fabs, which begin operation before high volume ramp commences.  Most of these newly operating facilities will be volume fabs; only 7 are R&Ds or Pilot facilities.

Between 2017 and 2020, China will see 26 facilities and lines beginning operation, about 42 percent of the worldwide total currently tracked by SEMI.  The majority of the facilities starting operation in 2018 are Chinese-owned companies. The peak for China in 2018 comes mainly from foundry facilities (54 percent). The Americas region follows with 10 facilities, and Taiwan with 9 facilities. See Figure 1.

Figure 1 depicts the regions in which new facilities will begin operation.

Figure 1 depicts the regions in which new facilities will begin operation.

By product type, the forecast for new facilities and lines include: 20 (32 percent) are forecast to be foundries, followed by 13 Memory (21 percent), seven LED (11 percent), six Power (10 percent) and five MEMS (8 percent). See Figure 2

Figure 2: New facilities & lines starting operation by product type from 2017 to 2020

Figure 2: New facilities & lines starting operation by product type from 2017 to 2020

Because the forecast extends several years, it includes facilities and lines of all probabilities, including rumored projects and projects which have been announced, but have a low probability of actually happening.  See Table 1.

FabForecast-table1

 

Probabilities of less than 50 percent are considered unconfirmed, while a probability of 80 to 85 percent means that the facility is currently in construction mode.  Projects with 90 percent probability are currently equipping. As the forecast gets farther out, more of the projects have lower probabilities.

The projects under construction, or soon to be under construction, will be key drivers in equipment spending for this industry over the next several years — with China expected to be the key spending market.

SEMI’s World Fab Forecast provides detailed information about each of these fab projects, such as milestone dates, spending, technology node, products, and capacity information. Since the last publication in August 2016, the research team has made 249 changes on 222 facilities/lines.

The World Fab Forecast Report, in Excel format, tracks spending and capacities for over 1,100 facilities including future facilities across industry segments from Analog, Power, Logic, MPU, Memory, and Foundry to MEMS and LEDs facilities.  Using a bottoms-up approach methodology, the SEMI Fab Forecast provides high-level summaries and graphs, and in-depth analyses of capital expenditures, capacities, technology and products by fab.

The SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses.  The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. Also check out the Opto/LED Fab Forecast.

Learn more about the SEMI fab databases at: www.semi.org/en/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats.

North America-based manufacturers of semiconductor equipment posted $1.55 billion in orders worldwide in November 2016 (three-month average basis) and a book-to-bill ratio of 0.96, according to the November Equipment Market Data Subscription (EMDS) Book-to-Bill Report published today by SEMI.  A book-to-bill of 0.96 means that $96 worth of orders were received for every $100 of product billed for the month.

SEMI reports that the three-month average of worldwide bookings in November 2016 was $1.55 billion. The bookings figure is 4.0 percent higher than the final October 2016 level of $1.49 billion, and is 25.1 percent higher than the November 2015 order level of $1.24 billion.

The three-month average of worldwide billings in November 2016 was $1.61 billion. The billings figure is 1.1 percent lower than the final October 2016 level of $1.63 billion, and is 25.2 percent higher than the November 2015 billings level of $1.29 billion.

“As 2016 comes towards a close, equipment spending is stronger than expected at the start of the year,” said Dan Tracy, senior director, SEMI. “Spending has been driven by 3D NAND, leading-edge foundry, and advanced packaging investments, and these segments are key for the expected spending growth in 2017.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

June 2016

$1,715.2

$1,714.3

1.00

July 2016

$1,707.9

$1,795.4

1.05

August 2016

$1,709.0

$1,753.4

1.03

September 2016

$1,493.3

$1,567.2

1.05

October 2016 (final)

$1,630.4

$1,488.4

0.91

November 2016 (prelim)

$1,613.2

$1,547.2

0.96

Source: SEMI (www.semi.org), December 2016

The Global Semiconductor Alliance (GSA) is proud to announce the award recipients honored at the 2016 GSA Awards Dinner Celebration that took place in Santa Clara, California. Over the past 22 years the awards program has recognized the achievements of semiconductor companies in several categories ranging from outstanding leadership to financial accomplishments, as well as overall respect within the industry.

The GSA’s most prestigious award, the Dr. Morris Chang Exemplary Leadership Award, was presented to Mr. Lip-Bu Tan, President and CEO of Cadence Design Systems, Inc. and Founder and Chairman of Walden International.

GSA members identified the Most Respected Public Semiconductor Company Award winners by casting ballots for the industry’s most respected companies judging by their products, vision and future opportunities. Winners included the “Most Respected Emerging Public Semiconductor Company Achieving $100 Million to $500 Million in Annual Sales Award” presented to Nordic Semiconductor; “Most Respected Public Semiconductor Company Achieving $500 Million to $1 Billion in Annual Sales Award” awarded to Silicon Labs; “Most Respected Public Semiconductor Company Achieving $1 Billion to $5 Billion in Annual Sales Award” awarded to Analog Devices, Inc.; and “Most Respected Public Semiconductor Company Achieving Greater than $5 Billion in Annual Sales Award” received by NVIDIA Corporation.

The “Most Respected Private Company Award” was voted on by GSA membership and presented to Quantenna Communications, Inc. Other winners include “Best Financially Managed Company Achieving up to $1 Billion in Annual Sales Award” presented to Silicon Motion Technology Corporation (Silicon Motion, Inc.) and “Best Financially Managed Semiconductor Company Achieving Greater than $1 Billion in Annual Sales Award” earned by NVIDIA Corporation. Both companies were recognized based on their continued demonstration of the best overall financial performance according to specific financial metrics.

GSA’s Private Awards Committee, comprised of venture capitalists and select industry entrepreneurs, chose the “Start-Up to Watch Award” winner by identifying a company that has demonstrated the potential to positively change its market or the industry through the innovative use of semiconductor technology or a new application for semiconductor technology. This year’s winner is Innovium, Inc.

As a global organization, the GSA recognizes outstanding companies headquartered in the Europe/Middle East/Africa and Asia-Pacific regions. Chosen by the leadership council of each respective region, award winners are semiconductor companies that demonstrate the most strength when measuring products, vision, leadership and success in the marketplace. The recipient of this year’s “Outstanding Asia-Pacific Semiconductor Company Award” is MediaTek Inc. and the recipient of this year’s “Outstanding EMEA Semiconductor Company Award” is Movidius.

Semiconductor financial analyst Quinn Bolton from Needham & Company presented this year’s “Favorite Analyst Semiconductor Company Award” to Microsemi Corporation. The criteria used in selecting this year’s winner included historical, as well as projected data, such as stock price, earnings per share, revenue forecasts and product performance.