Category Archives: Materials and Equipment

Mega Fluid Systems Inc., a supplier of chemical and slurry delivery equipment, and Entrepix Inc., a provider of chemical mechanical polishing (CMP) equipment and process services, today announced a partnership agreement. The combined expertise of both teams has already led to the development of a complete CMP solution for a 200mm fab upgrade project. Mega Fluid Systems designed and built the slurry delivery systems, while Entrepix remanufactured the CMP polish and clean equipment and developed the CMP processes to meet the customer’s requirements.

“Leveraging the unique capabilities and expertise of each of our organizations allowed us to provide the customer a truly customized solution,” said Delton Hyatt, President of Mega Fluid Systems. “This approach will simplify and reduce the technology transfer time, which is essential for optimizing manufacturing operations and reducing cost of ownership.”

The equipment set was designed to efficiently enable a new technology transfer process for the customer. By developing and shipping the slurry blend/delivery, CMP polishing, and post-CMP cleaning equipment set along with proven process recipes optimized for the customer’s devices, the companies were able to deliver a highly flexible and reliable solution that will shorten the time to qualify the new process flow. This also ensures that the customer will benefit immediately from many years of established expertise with no “learning curve” delays.

“It’s not commonplace for semiconductor supplier companies to work together at such an in-depth level, taking the lead to define and provide a complete solution,” said Tim Tobin, CEO of Entrepix. “However, our companies have known each other for many years and as such are able to act as a single entity that provides the customer both CMP delivery systems and equipment.”

The two companies are also expanding local operations, adding additional service and engineering staff to support system installation, maintenance and operation as well as ongoing process support. This solution has proven highly desirable to the market and other similar agreements are underway.

Semiconductor Manufacturing International Corporation, China’s largest and most advanced semiconductor foundry, and Jiangsu Changjiang Electronics Technology Co., Ltd., the largest packaging service provider in China, jointly announced today a joint venture for 12″ bumping and related testing. JCET will also build advanced back-end package production lines nearby. The two parties will use this as a base to jointly set up and develop an IC manufacturing supply chain within China to provide a high-quality, efficient and convenient one-stop-shop service for global customers focusing on the China market.

Bumping is a necessity for wafer yield testing of advanced front-end IC manufacturing technologies, and the basis for the 3D wafer level packaging technology development. With the rapid growth of mobile market in China, and increasing adoption of advanced 40nm and 28nm process technologies, IC chips and their demand for bumping are anticipated to grow rapidly in the next few years

By establishing Bumping and nearby advanced flip-chip packaging capabilities, along with SMIC’s front-end 28nm process technology offerings, the first complete 12″ advanced IC manufacturing local supply chain in China will be formed. This supply chain can greatly reduce the cycle time between FEOL (Front-end of Line) and MEOL (Middle-end of Line) / BEOL (Back-end of Line), and effectively control the intermediate costs. More importantly, it is closer to the end market in China, therefore it can shorten the time to market for fabless customers while focusing on China’s mobile market.

Using this as a foundation, both sides will also strengthen the co-operation in the 3D wafer level packaging field.

“Collaborating with China’s largest packaging service provider meets SMIC’s long-term strategy of cultivating China’s IC ecosystem,” said Dr. Tzu-Yin Chiu, Chief Executive Officer & Executive Director of SMIC. “By jointly cooperating in the bumping line and having JCET’s advanced package process next door, we will be able to provide an one-stop-shop service with mutual benefits, and establish the first 12″ advanced IC manufacturing local supply chain in China. It is a strategic and necessary step for SMIC to take to provide more value-added services to customers.”

“In combination with SMIC’s strong capabilities of front-end wafer manufacturing and technology R&D, and JCET’s experience in core semiconductor packaging technologies, this joint venture has complementary advantages for both sides,” said Mr. Wang Xinchao, Chairman of JCET. “Together, we will devote our efforts to build a supply chain which is the most suitable for meeting customers’ requirements, and to elevate and enhance the level and competitiveness of China’s IC manufacturing eco-system.

Epoxy Technology, Inc, a manufacturer of high performance specialty adhesives, and John P. Kummer Group, a distributor of instruments and materials for the Microelectronics and related industries, announce the formation of a new specialty adhesive packaging company, Epoxy Technology Europe Ltd (ETEL). This new company (ETEL) formerly a division of John P. Kummer Ltd, is now majority share owned by Epoxy Technology, Inc. Apart from the company name change, customers will not see any changes in the syringe products they receive.

Located in Marlborough, UK, John P. Kummer Ltd founded this packaging services division in 2010 to service European customers in their growing demand to have EPO-TEK brand products more readily and locally available in pre-mixed frozen syringe format (PMFs) for their assembly & manufacturing needs.

According to Andrew Horne, President and COO for Epoxy Technology, Inc., “This ISO certified packaging facility is a welcome addition to our Epoxy offerings in Europe. Our customers have come to rely on us, not only for the quality of our specially formulated adhesives, but also in the skills needed to re-package our products to meet and exceed strict manufacturing requirements. The longstanding commitment to quality and customer satisfaction in the packaging division at JP Kummer Ltd made our decision to expand into packaging in Europe, through this investment, an easy one.”

Rex Sandbach, Founder and Managing Director of John P. Kummer Ltd said, “We at John P Kummer are very proud of our achievements in developing a facility capable of supplying the most demanding needs of our customers and welcome the endorsement of our efforts by the significant investment Epoxy Technology made in this business.”

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled its most advanced 300-mm photoresist processing system for logic and memory high-volume manufacturing (HVM) — the EVG150XT resist coating and developing system.  Leveraging EVG’s XT Frame platform utilized across the spectrum of the company’s industry-leading systems, the EVG150XT is optimized for ultra-high throughput and productivity — bringing the company’s expertise in lithography processing to HVM environments.  The EVG150XT is designed for processing resists, spin-on dielectrics and thick films for mid-end-of-line (MEOL) and back-end-of-line (BEOL) semiconductor applications, including through silicon via (TSV) formation, wafer bumping, redistribution layer and interposer manufacturing for 2.5 and 3D-IC packaging.

As 2.5/3D packaging moves closer to production reality in the semiconductor industry in order to integrate increasing amounts of chip functionality in smaller form factors for mobile devices and other consumer electronic products, new manufacturing and cost requirements must be addressed across the wafer fabrication process—particularly in the MEOL and BEOL segments.  Smaller pitches, copper pillars, the formation of redistribution layers to enable chip-to-chip connections utilizing under bump metallization, and the connection of dies produced with different design-node processes, have led to tighter standards in process uniformity.  As the industry ramps to volume production on these devices, the wafer processing equipment used to manufacture these devices will require both enhanced throughput and processing capabilities.

The EVG150XT features nine process modules that can operate simultaneously for multi-parallel wafer processing.  Smart scheduling software for throughput-optimized handling sequences has also been incorporated, along with pumps and dispense systems tailored for thick film applications.  In addition, an in-line metrology module has been integrated into the EVG150XT that can detect a variety of process irregularities and defects to enable real-time process corrections to reduce defects, increase yields and lower production costs.  These and other software and hardware enhancements optimize the EVG150XT for high-volume manufacturing environments.

“For the past 30 years, EV Group has built up significant process know-how in both lithography and HVM processing working closely with our customers and turning their feedback into new capabilities built into our next-generation products.  With our latest product introduction — the EVG150XT — we’ve successfully combined both areas of expertise to provide our customers with the industry’s first true HVM resist processing system that can meet their most demanding requirements for mid-end and back-end-of-line applications,” stated Paul Lindner, executive technology director at EV Group.

Media and analysts interested in learning more about EVG’s latest developments in photoresist and lithography processing, as well as other high-volume manufacturing solutions, are invited to visit the company’s booth #1264 in Hall C at the COEX Convention and Exhibitor Center in Seoul, Korea at the SEMICON Korea show on February 12-14.

By Dr. Phil Garrou, Contributing Editor

SEMI’s second annual European 3D TSV Summit was held in Grenoble in late January. 320 attendees met to discuss the status of 2.5/3DIC and other advanced packaging technologies.

Mark Stromberg, principle analyst for Gartner, projects TSV wafer production will be > 500K 300mm equiv wafers/month or > 750MM units / yr by 2016, with a CAGR between 2013 – 2018 of 107%. By 2016 they are predicting theTSV equipment market will approach $1B.  They are also predicting that similar to the lower transistor nodes, only top tier IDM/Foundries/OSATS will participate due to the significant capex requirements.

GlobalFoundries (GF) has been detailing their imminent commercialization of 2.5/3D IC for several years. Michael Thiele, Sr. section manager for packaging reported that Rev 0.5 of their design manual and process design kit would be ready in Mach of this year with Rev 1.0 coming out in the 3Q.   The proposed GF supply chain is shown below:

GF

Miekei Leong, VP of TSMC reported on their plans to validate high bandwidth memory (HBM) on their chip-on-wafer-on substrate ,CoWoS interposer  technology by 4Q 2014 and details on vertical stacking of memory on 28nm logic.

Eric Beyne of IMEC took a look at the cost breakdown for wafer level 3D integration for a fully loaded balanced line producing 5x 50um TSV.

beyne

Element Six, a developer of synthetic diamond supermaterials, today announced that the University of Strathclyde has successfully demonstrated two notable high-power laser research developments—the first ever tunable diamond Raman laser and the first continuous-wave (CW) laser—both using Element Six’s synthetic diamond material. These two achievements prove diamond’s viability as a material for solid-state laser engineering, even in the most demanding intracavity applications.

Founded in 1796 in Glasgow, Scotland, the University of Strathclyde began its laser research prior to the formation of a dedicated Institute of Photonics in 1996. In 2006, the University sought to partner with Element Six, the only company capable of providing the high optical quality material demanded by high-power lasers, in an effort to further enhance its high-power laser research. Synthetic diamond was selected for the material’s unique properties, including very high thermal conductivity and transparency over a very broad range of wavelengths.

“Although continuously operating and tunable Raman lasers have been demonstrated in the past with other materials, these materials have very poor thermal conductivity, which severely limited the output powers that could be generated. Diamond removes this barrier and has paved the way for multi-watt output powers at wavelengths that are difficult to generate with conventional lasers,” said Senior Research Fellow, Alan Kemp, Ph.D., who, with Research Team Leader Jennifer Hastie, Ph.D., and Professor Martin Dawson, leads this work at the University of Strathclyde’s Institute of Photonics. “The successful demonstration of a diamond Raman laser indicates that diamond is now a viable material for solid-state laser engineering even in the most demanding applications. It is this potential that we hope to exploit in the future in continued partnership with Element Six.”

The university achieved Raman laser operation by placing Element Six’s synthetic diamond within the cavity of another laser. Because Element Six synthetic diamond has very low optical loss, the university was able to demonstrate the first continuously operating diamond Raman laser, without the requirement for high intensity pulsed laser light. This is important for applications that require the precision of a continuously operating laser, rather than the substantial power of a pulsed laser, such as spectroscopic detection of trace gases and some demanding medical procedures, such as ophthalmic surgery.

The university used a tunable semiconductor disk laser to achieve tuning of the Raman laser color. This laser was also cooled using a single crystal diamond heat spreader from Element Six, allowing it to generate multiple watts of tunable output power; then, a second piece of diamond was inserted into this laser, thus demonstrating the first ever tunable diamond Raman laser.

“It has been our pleasure to partner with a leading research organization such as the University of Strathclyde for 8 years, in pursuit of this significant development,” said Adrian Wilson, head of the Technologies division at Element Six. “It’s very fulfilling to see that the unique low loss and low birefringence of Element Six synthetic diamond are vital in helping the university achieve these results. We have only scratched the surface as it relates to high-optical quality diamond in solid-state laser engineering, and we look forward to continuing our partnership with the University of Strathclyde to explore synthetic diamond’s potential for future applications such as these.”

Novoset, LLC and Lonza are pleased to announce the introduction of Primaset ULL-950 and Primaset HTL-300 ultra-low loss and high temperature thermoset materials for the telecommunication and advanced semiconductor packaging industries. These thermoset resins are based on Cyanate ester (CE) chemistry. Primaset ULL-950 is suitable for high-performance applications such as power amplifiers for 4G LTE and 4G LTE advanced base stations for smartphones, internet infrastructure and high-layer count servers for “cloud computing”. Low dielectric properties coupled with high Glass transition temperature (Tg) makes Primaset HTL-300 an ideal candidate for advanced Integrated Circuit (IC) substrates for semiconductor packaging materials and next generation application processors for mobile chips. Depending on the backbone structure Primaset ULL-950 has a dissipation factor (Df) ranging from 0.0009 to 0.003 and dielectric constant (Dk) between 2.3 – 2.6 up to 40 GHz. The Tg can vary between 175 – 320˚C. High temperature bendable devices can be fabricated utilizing its flexibility. These products also exhibit low moisture uptake and short lamination cycles. The high temperature capabilities and toughness are critical for lead-free assemblies in Printed Circuit Boards (PCB) and build-up films.  Low moisture uptake and wet Tg retention with toughness also may open the door for the use of these Cyanate esters for structural aerospace applications.

The processing of these materials is similar to epoxy resins and other commercial Primaset materials. The products co-react with epoxy resins, Polyphenylenether (PPE), Styrene maleic anhydride (SMA), Triallyl cyanurate (TAC), Bismaleinimide (BMI), Vinyl polymers, Primaset BA-230S, Primaset BA-3000, and other Cyanate esters. The products are soluble in Methyl ethyl ketone (MEK), Toluene, Xylenes and other solvents at high concentration. These products also give excellent adhesive characteristics with various substrates and can be highly filled with fillers for low CTE applications. Dr. Sajal Das, President & CEO of Novoset, LLC stated: “We can design and fabricate high-layer count ultra-low dielectric boards without using Teflon. PrimasetTM ULL-950’s electrical performance is similar to Polytetrafluoroethylene, it can be processed as easy as FR-4 technology and reaches Tg of Cyanate esters or Polyimides.” He further commented that: “We are getting close to fabricating bendable tablets, smartphones and other devices from high temperature thermosets such as derivatives of PrimasetTM ULL-950 and PrimasetTM HTL-300 in the foreseeable future.”

ULL-950 and HTL-300 were developed at Novoset Technology Center, in Berkeley Heights, NJ. Lonza will manufacture and market these two products globally under Primaset trade name.

Compiled by Pete Singer, Editor-in-Chief; Edited by Shannon Davis, Web Editor

Internet of Things

We asked leading industry experts and analysts to give us their perspectives on what we can expect in 2014. All expect it to be a banner year for the semiconductor industry, as the world’s demand for electronics continues unabated. However, most believe we are seeing an era of unprecedented change, driven by a shift to mobile computing, the Internet of Things, higher wafer costs and difficult technical challenges. To address these challenges, new levels of innovation and collaboration will be needed.

Click to launch slideshow

Dr. Phil Garrou, Contributing Editor

At the recent Georgia Tech Global Interposer Technology (GIT) Workshop in Atlanta, the pervasive theme appeared to be whether a change in substrate is required to lower overall costs and help drive HVM (high volume manufacturing) applications. Certainly conference chair Rao Tummala, industry visionary whose name is synonomous with microelectronic packaging, feels the time is right to take a serious look at glass interposers both for their superior electrical performance and their promise of lower costs. The PB substrate manufacturers are also taking a serious look at this market and proposing that they can drive their technology to the required dimensions and electrical performance, though many skeptics (including me) are taking a “show me” attitude about these claims.

The Yole Developpement presentation pointed out that while 2.5D silicon interposer technology was fully underway at TSMC and GLOBALFOUNDRIES, UMC and SPIL supposedly are near initiation, all of the rumored “driver applications,” like the Apple A7, the next gen Qualcomm phone, the Sony PS4, ST Micro’s “Wioming” application processor, wide IO memory and the next generation Altera FPGA (see discussion below) have been, at the very least, postponed. While no one would openly reveal what the current and proposed future costs are, it is believed that all of these postponements are due to cost which certainly is not yet meeting the mobile phone requirements of less than 1 cent per sq mm proposed by Qualcomm’s Matt Nowak (i.e., this is roughly $550 for a 300mm wafer of interposers).

While Yole has identified at least 10 products moving towards commercialization, all of them currently require so called high density interposers (i.e. 1 µ m L/S and as small as 10 µ m TSV). Currently these dimensions can only be fabricated using front end dual damascene type processing available only at silicon foundries and more recently the OSAT, SPIL.

While Yole is still projecting a greater than $1B in revenue from 2.5D TSV activity by 2017 (activity revenues including TSV etching, filling, RDL, bumping, wafer test & wafer level assembly), these projections only hold if the current “postponed applications” are quickly commercialized.

During the Amkor presentation Ron Huemoeller indicated that lowering cost could come from elimination of backside RDL on the interposers by arranging pin out on the top side high density interconnect.

Huemoeller sees high end applications being dominated by silicon, mid end applications like graphics possibly using glass and the low end applications (yet unidentified) being wide open. He sees GPU + HBM (high bandwidth memory) being adopted in 2015 and tablets and processors adopting interposer solutions the following year.

In terms of organic “interposers” he indicates that Shinko and Semco are in limited sampling of 2/2 (L/S) and Kyocera 5/5. He labels Unimicron as in “early development.”

After making the standard argument that 2.5/3DIC was needed to combat the costs of continued scaling and that system level cost savings could pay for interposer costs, Dave McCann of GLOBALFOUNDRIES indicated that GF was achieving near 100% yields with reticle sized interposers having 4 layers of high density interconnect.

McCann predicted we would see voltage regulator function on future interposers. He also described a program between Global (chip and silicon interposer), Open-Silicon (design), Cadence (EDA tools) and Amkor (assembly and test), which produced a functional processor vehicle featuring two 28nm ARM Cortex-A9 processors connected on a 2.5D silicon interposer built on a 65nm manufacturing flow. The program demonstrated first-time functionality of the processor, interposer, substrate and the die-to-substrate assembly process. The design tools, process design kit (PDK), design rules, and supply chain are now in place for other activities.

Inherently most believe that all things being equal, glass should be a lower cost interposer solution since it can be processed in large format. However, one interesting question from the audience was “Why are silicon and glass wafer the same price?”

Although the data from experts like Professor Kim from KAIST confirms that glass is a better electrical performance solution, especially for RF applications, the major issue is that a complete infrastructure is not yet in place to manufacture such glass interposers. •

ANDREW HO, Global Industry Director, Advanced Semiconductor Materials, Dow Corning, Hong Kong.

New technology eliminates the need for specialized equipment for wafer pre- or post-treatment.

Advances in three-dimensional (3D) through-silicon via (TSV) semiconductor technology promise to significantly improve the form factor, bandwidth and functionality of microelectronic devices by enabling once-horizontal chip structures to be fabricated as vertical architectures. The challenges to implementing 3D-IC TSV integration are not trivial, and the search for a solution has prompted exploration of several schemes. These are frequently labeled as via-first, via-middle or via-last depending on the position where the 3D TSV fabrication takes place.

3D_1
Figure 1: A thing silicon wafer on diving frame after successful debonding from a silicon carrier wafer at imec, using Dow Corning’s silicon-based temporary bonding solution. Image courtesy of and copyright owned by imec

In via-first integration, TSVs are formed before processing the front-end-of the line (FEOL) layers, which enables high thermal budget processing for TSV insulation and filling. In via-middle schemes, TSVs are added between FEOL and back-end-of-the-line (BEOL) stacking to allow several copper-based interconnections. In a via-last approach, fabrication of TSVs occurs after completion of FEOL and BEOL processing, either from a wafer’s front or back side. This approach generally addresses applications in which low density 3D interconnections are adequate.

In all these approaches, however, TSV fabrication is problematic without thinning the active silicon wafer down to 50µm or less (FIGURE 1) – about half the thickness of a standard piece of printer paper – and therein lies the challenge. In order to handle such ultra-thin wafers, the industry requires solutions that can easily, cost-effectively and temporarily bond and debond active wafers to carrier wafer systems for subsequent wafer thinning and TSV fabrication.

Temporary bonding solutions: A primer

Wafer thinning is already widely applied for IC manufacturing, as well as the manufacture of power devices and image sensors. Depending on process requirements and applications, wafer bonding can be divided into several techniques including direct bonding, anodic bonding and thermo-compression adhesive bonding and others. For 3D-IC integration, however, the most commonly explored approach is attaching device wafers to a carrier wafer for support with the use of polymer-based temporary adhesives. As shown in FIGURE 2 A typical process flow for the use of such temporary bonding solutions first applies a release and an adhesive layer, either on the device or the carrier wafer. After this the device and carrier wafers are bonded together. Subsequent steps, in sequence, involve wafer thinning, TSV reveal or fabrication, formation of redistribution layers and wafer interconnect fabrication, debonding and cleaning of the processed ultra-thin device wafer and, lastly, 3D stacking of the thinned device wafers.

3D_2
Figure 2: A typical process flow for temporary bonding and debonding solutions.

Central to the success of this approach is the polymer adhesive, which must protect the ultra-thin wafer while withstanding the harsh chemicals and thermal stresses imposed by wafer thinning and 3D-IC TSV integration processes. Specifically, temporary bonding/debonding (TB/D) solutions must demonstrate excellent thermal and chemical stability to withstand the plasma processes as well as the solvents, bases and acids used by 3D-IC TSV processes. In addition to delivering excellent adhesive properties to withstand the mechanical stress of the wafer thinning process, temporary adhesives must also be able to maintain global high uniformity of the adhesive layer as characterized by a low total thickness variation (TTV) across the device wafer through all processing steps to reach a typical target of 2 µm TTV on the thin device wafer (FIGURE 3). In addition, these materials must enable low-temperature debonding compatible with different interconnect technologies using solder bumps or copper pillars, and offer a simple wafer cleaning process that will damage neither the underlying layers of the processed device wafer nor the tape on which the thinned wafer stands after debonding.

3D_3
Figure 3: Measurements of a temporarily bonded active water (post-thinning) show total thickness variation to be approximately 4µm.

The potential of polymer-based TB/D solutions has prompted exploration of several material technologies coupled with various equipment platforms and wafer treatments. As development of these and other TB/D solutions advance, 3D-IC TSV integration has yet to become a mainstream technology due to its additional costs and challenges on thin wafer handling. These costs derive not only from the sophisticated materials used, but also the multiple pre-treatment steps that temporary bonding and debonding processes have traditionally required. While these painstaking steps help to ensure high yields and protect the high value of fully functional device wafers, they also hinder 3D-IC TSV integration from moving to volume production and, ultimately, they contribute to a higher total cost of ownership.

3D_4
Figure 4: Wafers spin-coated with the temporary adhesive and then cured tested the materials chemical resistance by soaking it in phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. The temporary bonding material showed negligible weight loss or gain for all chosen chemicals.

Minimizing total cost of ownership is essential for all semiconductor manufacturing applications. But it is a critical enabler for next-generation technologies, such as 3D-IC TSV integration. Recent innovations by Dow Corning and industry collaborators have shown promising development of a simpler, more cost-effective temporary bonding solution based on silicone adhesive and release layers. Importantly, this new solution enables room-temperature bonding and room-temperature mechanical debonding of active and carrier wafers using conventional, high-volume manufacturing methods.

A new bilayer temporary bonding/debonding concept

At the center of this new approach is a simple bilayer concept based on two silicone materials that serve as the temporary bonding materials during the fabrication of thin wafers for 3D-IC TSV integration. It applies a process flow that greatly simplifies the temporary bonding/debonding process, and reduces costs associated with special equipment for pre- or post-process treatments of the device wafer such as plasma, ultra-violet, preferential zone treatment and others.

The first step in the process flow is the spin coat of the temporary bonding materials. This step is critical to minimizing delays in process time, as the total thickness variation (TTV) of the spin coated material can contribute to the TTV of the bonded pair and, later, transfer to the thin wafer during the wafer thinning and post processing of bonded wafer pairs. Thus it is important to start with a low TTV for spin coated films. Notably, the process described here targets TTV for coatings on the device wafer to a range of within 1 percent.

The spin coat step first applies a continuous release layer onto the front side of the device wafer, ensuring the layer entirely covers any micro-structures present. Next, comes spin coat application of a silicone-based adhesive layer of a few tens of microns in thickness – depending on the device wafer’s topography – on top of the release layer. The adhesive layer developed for this process is designed to obtain excellent uniformity and planarization over high bump topographies. It allows single-layer thicknesses between 10 and 110 µm to provide process simplicity.

After application of both layers, the device and carrier wafer are bonded. The carrier wafer can be either silicon or glass, and it does not require any particular pre-processing. Prior to the bonding step, application of vacuum assures no air bubbles are trapped in the adhesive, which is viscous. After degassing, the carrier is dropped onto the device wafer.

Importantly, bonding occurs at room temperature, which greatly improves the opportunity for increased throughput. Also, the silicone-based adhesive is still in its wet state at this point. So, no force is required to bond the pair. Thus, this technology offers the potential to accommodate fragile ultra-low dielectric constant materials used within advanced copper interconnects that are very sensitive to the application of force. The total time for this step takes a couple of minutes, followed by a post-bonding bake on a hotplate – typically at 150° C for a few more minutes – to cure the adhesive layer.

Wafer processing now proceeds with backgrinding and associated process control. Following post-bonding, the bonded pair is mechanically debonded at room temperature along the release to adhesive layer interface. The thinned device wafer remains on a tape on a frame, available for release layer cleaning followed by dicing, pick-and-place and stacking steps. The carrier wafer, still covered with adhesive, is processed for chemical recycling.

Able to withstand real-world processes

Candidate TB/D materials must deliver excellent thermal stability to ensure that the bond remains strong during the various processing steps involved in the copper nail reveal step and formation of redistribution layers on the device wafer. It is also critical that candidate materials do not outgas during post-bond processing, as this can lead to voids or delamination that, ultimately, can contribute to device failures.

Thermal analysis of both the release and adhesive materials used in this new approach heated the thinned bonded pair to 200° C on a hot plate in air for 20 minutes; and then to 200° C in air for three hours, where it passed solder bump reflow conditions at 260° C for 10 minutes; and finally to 200° C for three hours under vacuum. Scanning acoustic microscopy analysis after each test showed no voids or delamination.

These results underscore that both TB/D materials developed for the approach described above can not only hold up under the rigors of conventional backgrinding processes, they can also deliver the thermal stability necessary to withstand the plasma processes applied to the wafer pair during the fabrication of 3D-IC TSV architectures.

Strong chemical resistance is also critical for candidate TB/D materials to ensure they can perform reliably without delaminating or swelling when exposed to the several wet processes that thinned wafers undergo. Testing of the release and adhesive layer materials began by spin coating a wafer with the temporary adhesive, curing it using described protocols and then soaking it in phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. The temporary bonding material showed negligible weight loss or gain for all chosen chemicals (FIGURE 4).

One of the most important enablers of broader adoption of TB/D solutions is the ability to debond thinned device wafers from carrier wafers, and clean any residues from the device wafer without adversely affecting device yields. The new bilayer TB/D concept described above leverages a room-¬temperature peel debond, and has been demonstrated on several conventional, commercially available debonding platforms from leading equipment providers.

The process begins by first mounting the thinned wafer pair onto a dicing tape and holding it in place on a vacuum chuck while peeling off the thick carrier wafer. Because the solvent dissolvable release layer is applied to the thin device wafer with dicing tape exposed, no harsh silicone removers or other strong acids need be applied. The entire debond process takes less than five minutes, including clean-up of the device wafer.

Conclusion

While TB/D materials and equipment continue to evolve in sophistication, broader adoption of this technology and the 3D-IC TSV integration that it enables cannot advance at the expense of simple processing, device yields or total cost of ownership. The emergence of Dow Corning’s simple, bilayer TB/D bonding solution achieves all these goals by eliminating the need for specialized equipment for wafer pre- or post-treatment.

Comprising an adhesive and release layer, the technology has demonstrated excellent spin coating and room temperature bonding performance with low TTV, even for very thick layers up to 110 µm. Proven on commercially available high-volume production equipment, it has shown excellent chemical stability when exposed to phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. In addition, the bonding solution and paired wafers showed good thermal stability when exposed to the 300° C temperatures common to post-bonding 3D TSV processes. •

ANDREW HO is the Global Industry Director, Advanced Semiconductor Materials, Dow Corning. E-mail: [email protected].