Category Archives: Materials and Equipment

The historic flood of merger and acquisition agreements that swept through the semiconductor industry in 2015 and 2016 slowed significantly in 2017, but the total value of M&A deals reached in the year was still more than twice the annual average in the first half of this decade, according to IC Insights’ new 2018 McClean Report, which becomes available this month.  Subscribers to The McClean Report can attend one of the upcoming half-day seminars (January 23 in Scottsdale, AZ; January 25 in Sunnyvale, CA; and January 30 in Boston, MA) that discuss the highlights of the report free of charge.

In 2017, about two dozen acquisition agreements were reached for semiconductor companies, business units, product lines, and related assets with a combined value of $27.7 billion compared to the record-high $107.3 billion set in 2015 and the $99.8 billion total in 2016 (Figure 1).  Prior to the explosion of semiconductor acquisitions that erupted several years ago, M&A agreements in the chip industry had a total annual average value of about $12.6 billion between 2010 and 2015.

Figure 1

Figure 1

Two large acquisition agreements accounted for 87% of the M&A total in 2017, and without them, the year would have been subpar in terms of the typical annual value of announced transactions.  The falloff in the value of semiconductor acquisition agreements in 2017 suggests that the feverish pace of M&A deals is finally cooling off.  M&A mania erupted in 2015 when semiconductor acquisitions accelerated because a growing number of companies began buying other chip businesses to offset slow growth rates in major end-use applications (such as smartphones, PCs, and tablets) and to expand their reach into huge new market opportunities, like the Internet of Things (IoT), wearable systems, and highly “intelligent” embedded electronics, including the growing amount of automated driver-assist capabilities in new cars and fully autonomous vehicles in the not-so-distant future.

With the number of acquisition targets shrinking and the task of merging operations together growing, industry consolidation through M&A transactions decelerated in 2017.  Regulatory reviews of planned mergers by government agencies in Europe, the U.S., and China have also slowed the pace of large semiconductor acquisitions.

One of the big differences between semiconductor M&A in 2017 and the two prior years was that far fewer megadeals were announced.  In 2017, only two acquisition agreements exceeded $1 billion in value (the $18 billion deal for Toshiba’s memory business and Marvell’s planned $6 billion purchase of Cavium).  Ten semiconductor acquisition agreements in 2015 exceeded $1 billion and seven in 2016 were valued over $1 billion.  The two large acquisition agreements in 2017 pushed the average value of semiconductor M&A pacts to $1.3 billion.  Without those megadeals, the average would have been just $185 million last year. The average value of 22 semiconductor acquisition agreements struck in 2015 was $4.9 billion.  In 2016, the average for 29 M&A agreements was $3.4 billion, based on data compiled by IC Insights.

By Dan Tracy and Ji-Won Cho, SEMI

2017 proved to be record-setting year for the semiconductor industry. According to World Semiconductor Trade Statistics (WSTS), worldwide semiconductor market will have grown 20 percent, exceeding $400 billion for the first time. Among all major product segments, memory is the strongest, with sales are on track to grow 60 percent year-over-year, contributing to 30 percent of worldwide semiconductor sales in 2017. The consensus is that the growth momentum in memory will continue in 2018, driven by stable market demand and a favorable pricing environment.

Korean memory makers are the biggest beneficiaries of this memory super cycle. According to the Korea International Trade Association (KITA), the memory export value from Korea grew 86 percent through November 2017 compared to a year earlier, indicating that Korean memory makers are gaining more market share. On the supply side of the market, both Samsung and SK Hynix saw record high capital expenditures in 2017, contributing to the revenue surge from Korean suppliers. The spending spree is expected to continue in 2018. Together, Samsung and SK Hynix are forecast to invest over $20 billion in fab tools worldwide in 2018. (Track fab projects in detail with the SEMI World Fab Forecast or SEMI FabView databases).

WFF-Dec2017-chart

Samsung’s anchor project in 2018 is the ramp of its new Fab P1 phase 2 line in Pyeongtaek. Samsung plans to add new 3D NAND as well as DRAM capacity at this fab, fortifying its leading position in memory market. Beyond 2018, Samsung’s Xian phase 2 plan is also underway for future expansion.

SK Hynix, on the other hand, will ramp up M14 fab in 2018, adding new capacity for both 3D NAND and DRAM. In the meantime, SK Hynix is building a new fab, M15, in Cheongju, Korea, for 3D NAND and Fab C3 in Wuxi, China, for DRAM.

Both of these leading memory makers plan to ride this memory cycle and intend to vault ahead of the competition. Future demand for 3D NAND will continue to be the strongest, driving new fab projects in Korea now and later in China. Nevertheless, DRAM supply will also see new capacity coming online this year, followed by rare new fab projects. Memory not only accounts for a major portion of worldwide semiconductor sales but will also propel the investment momentum in the coming years.

SEMICON Korea 2018

The strong memory growth sets the stage for SEMICON Korea, January 31 through February 2 in Seoul. The largest microelectronics event in Korea, with over 40,000 attendees expected, SEMICON Korea will focus on enabling participants to “Connect, Collaborate, and Innovate.”

Key SEMICON Korea highlights include:

  • The 1,919 booths are sold out as major equipment, materials, and subsystem/parts companies exhibit their new products and technology solutions at the show.
  • Industry giants including Samsung, Micron, Intel, Toshiba, Sony, SK Hynix and LAM Research will connect with Korean equipment, materials and subsystems/parts manufacturers through the Supplier Search Program.
  • Participation by engineers is expected to be strong this year, after more than 10,000 engineers from​ Samsung Electronics, SK Hynix and DB Hitek attended SEMICON Korea 2017.

Major SEMICON Korea programs, including the following, will provide key insights into the Korea electronics manufacturing ecosystem:

  • Smart Automotive Forum
  • Smart Manufacturing Forum
  • Test Forum
  • SEMI Technology Symposium
  • Market Seminar

For a complete schedule of programs, visit www.semiconkorea.org/en/agenda-glance.

ASML Holding N.V. (ASML) today announces that the Supervisory Board intends to appoint Roger Dassen as Executive Vice President and Chief Financial Officer (CFO) to the Board of Management, subject to notification of the Annual General Meeting of Shareholders scheduled for April 25, 2018. Dassen succeeds Wolfgang Nickl who will leave ASML at the end of April (as announced on 12 September 2017). Roger Dassen (age 52) will join ASML on June 1, 2018.

Roger Dassen is the Global Vice Chairman, Risk, Regulatory, and Public Policy of Deloitte Touche Tohmatsu Limited (DTTL). In this capacity, he also serves as the Global Chief Ethics Officer and a member of the DTTL Executive. Dassen is a former CEO of Deloitte Netherlands. He has been a Deloitte Netherlands audit partner since 1996 and has served as advisory partner and/or global LCSP for a number of the firm’s largest clients.

Dassen is professor of auditing at the Free University of Amsterdam. He has a master’s degree in economics and business administration, and a PhD in business and economics from the University of Maastricht.

“We are very pleased to have Roger Dassen join us as our CFO. We welcome his deep financial expertise and broad managerial experience. The Board of Management is confident that he will quickly integrate into our senior management team to support ASML in delivering our company’s growth objectives,” said Peter Wennink, President and Chief Executive Officer at ASML.

ASML is a manufacturer of chip-making equipment.

Odyssey Technical Solutions today announced that they have reached agreement with COMET PCT (Plasma Control Technologies) division, located in San Jose, CA. with headquarters in Switzerland, to stock and exclusively distribute the COMET vacuum capacitor line of components for North, Central and South America.

COMET VP of Sales Conor O’Mahony explained “We are always looking to expand our reach in the marketplace, enhance the service and support we provide to our customers, and further speed the delivery of our products. Odyssey is a company that knows the industries and customers using our capacitors. Odyssey has the relationships with end users of our products, an ability to test them, and a system to ship them quickly to the customer. This will be a win/win for us both and for the industries we serve”.

Odyssey will maintain inventory to accommodate historical and projected usage of fixed and variable vacuum capacitors, with a flexible supply chain model for quick turnaround as needs dictate. COMET is known worldwide for the finest quality vacuum capacitor, un-surpassed reliability and the best MTBF in the industry.

President of Odyssey Jim Plourde said “When the opportunity presented itself to distribute the COMET vacuum capacitors, who we have used in our repairs the last 17 years, we knew that it was a natural fit for Odyssey. I know that we will do a great job for COMET and more importantly for the customers, who more than ever need quick turnaround for critical components.”

Nordson Corporation (Nasdaq: NDSN) has acquired Sonoscan, Inc., an Elk Grove Village, Illinois-based designer and manufacturer of acoustic microscopes and sophisticated acoustic micro imaging systems used in a variety of microelectronic, automotive, aerospace and industrial electronics assembly applications. The transaction is not material to Nordson results, and terms of the deal were not disclosed.

“The Sonoscan acquisition broadens the offering to our customers within our Test and Inspection range of products and solutions,” said Joseph Stockunas, Vice President for Nordson’s Advanced Technology Systems segment. “Sonoscan’s acoustic imaging solutions are adjacent and highly complementary to Nordson’s existing bond testing, X-ray and automated optical inspection solutions and are sold to the same set of customers.”

Founded in 1974 by Dr. Lawrence Kessler and employing approximately 85 people, Sonoscan will operate within Nordson’s Advanced Technology Systems segment. Since its inception, Sonoscan has been the most trusted authority on the application of Acoustic Microscopy, also known as Acoustic Micro Imaging (AMI) technology, to nondestructively find and characterize physical defects such as cracks, voids, delaminations and porosity that occur during manufacturing, environmental testing or even component operation. This acquisition builds on our strategic objective to grow our Electronics Systems business in the advanced semi-conductor packaging and automotive electronics markets.

Nordson Corporation engineers, manufactures and markets differentiated products and systems used to dispense, apply and control adhesives, coatings, polymers, sealants, biomaterials, and other fluids, to test and inspect for quality, and to treat and cure surfaces.

IC Insights is currently researching and writing its 21st edition of The McClean Report, which will be released later this month.  As part of the report, a listing of the 2017 top 50 fabless IC suppliers will be presented.

Figure 1 shows the top 10 ranking of fabless IC suppliers for 2017.  Two China-based fabless companies made the top 10 ranking last year—HiSilicon, which sells most of its devices as internal transfers to smartphone supplier Huawei, and Unigroup, which includes the IC sales of both Spreadtrum and RDA. Fabless company IC sales are estimated to have exceeded $100 billion in 2017, the first time this milestone has been reached.

Figure 1

Figure 1

Unlike the relatively close annual market growth relationship between fabless IC suppliers and foundries, fabless IC company sales growth versus IDM (integrated device manufacturers) IC supplier growth has typically been very different (Figure 2).  The first time IDM IC sales growth outpaced fabless IC company sales growth was in 2010 when IDM IC sales grew 35% and fabless IC company sales grew 29%.  Since very few fabless semiconductor suppliers participate in the memory market, the fabless suppliers did not receive much of a boost from the surging DRAM and NAND flash memory markets in 2010, which grew 75% and 44%, respectively.  However, the fabless IC suppliers once again began growing faster than the IDMs beginning in 2011 and this trend continued through 2014.

Figure 2

Figure 2

In 2015, for only the second time on record, IDM IC sales “growth” (-1%) outpaced fabless IC company sales “growth” (-3%).  The primary cause of the fabless companies’ 2015 sales decline was Qualcomm’s steep 17% drop in sales. Much of the sharp decline in Qualcomm’s sales that year was driven by Samsung’s increased use of its internally developed Exynos application processors in its smartphones instead of the application processors it had previously sourced from Qualcomm.  Although Qualcomm’s sales continued to decline in 2016, the fabless companies’ sales in total (5%) once again outpaced the growth from IDM’s (3%).

In 2017, the market behaved very similarly to 2010, when strong growth in the memory market propelled the IDM IC sales growth rate higher than the fabless IC supplier growth rate.  With the total memory market, a market in which the fabless IC companies have very little share, surging by 58% last year, IDM IC sales growth easily outpaced fabless company IC sales growth in 2017.

The SEMI European 3D Summit will make its Dresden, Germany, debut  22-24 January, 2018, featuring a broader scope of 3D topics driving innovation and business opportunities in the 3D market. The event will highlight the latest 3D technologies including 3DIC Through-Silicon-Via (TSV), 2.5D, 3D FO-WLP/ e-WLB, glass interposers, thermal management and 3D alternative technologies for heterogeneous integration and high-density systems.

A market briefing on the latest business challenges and opportunities in the 3D sector will kick off the summit, with 3D and packaging industry experts presenting their exclusive business and market insights and analysis confirming the huge forecast growth of advanced packaging. Keynotes and presentations on the current adoption of 3D applications such as high-end memory, performance, mobile, imaging and automotive will highlight this 6th edition of SEMI European 3D Summit.

Sold-out for five years straight, the European 3D Summit will showcase the leading names in 3D integration microelectronics manufacturing and offer numerous networking opportunities including a gala dinner and cocktail hour, along with frequent coffee and lunch break mixers. In addition, attendees will meet emerging new talent engaged in the future of 3D integration including Sabrina Fadloun, PhD student and senior field process engineer, SPTS Technologies, and September 2017 winner of the international competition “My Thesis in 180 Seconds.”

The European 3D Summit will showcase speakers from companies such as Third Millennium Test Solutions (3MTS), Amkor Technology, CEA-Leti, Chipworks, Epcos, Fraunhofer, GLOBALFOUNDRIES, Hewlett Packard, Huawei, IBM, IMEC, Intel, ProPrincipia, Qualcomm, Silex, ST Microelectronics, SMIC, TechSearch, Tessera Xperi, Université de Sherbrooke, Western Digital, X-Fab and Yole Développement.

Featuring a huge supplier base, Dresden is home to some of Europe’s largest fabs, from GLOBALFOUNDRIES, Infineon, and X-FAB to a new 300mm BOSCH fab.

Premium Sponsors of the European 3D Summit are SPTS Technologies (platinum sponsor), ASE Group (gold sponsor), Suss MicroTec Group (silver sponsor), EV Group and Trymax (event sponsor)

Please find more registration information at www.semi.org/eu/European-3D-Summit-2018-Register. For more information on the show, please visit www.semi.org/eu/european-3d-summit-2018 or contact Mr. Michael Kaiser, Senior Manager Business Development, SEMI Europe (email: [email protected] or tel. +49 30 3030 8077 10).

By Natalie Shim, SEMI Korea

As dynamic back-end related technologies such as TSV (Through-Silicon Vias), InFO (Integrated Fan Out), etc., enable electronic devices to downsize with higher performance, the importance of back-end processing is greater than ever. Due to this, more and more customers are requesting “quality control” by tracing raw materials to assembly and packaging companies and the need for a standard is clear.

The Korea Advanced Back-end Factory Integration Task Force, in response to the industry’s demand, has decided to revise SEMI E142-0211 (Reapproved 1016), Specification for Substrate Mapping by adding an assembly and packaging raw materials traceability method.

Standards Chart

The first ballot is open for voting in Cycle 9-2017 (Nov 29 to Dec 29, 2017), and the TF will review the feedback at the next Information and Control Korea Technical Committee Chapter meeting scheduled for February 1, 2018, in conjunction with SEMICON Korea in Seoul, Korea.

Get Involved

SEMI Standards development activities take place throughout the year in all major manufacturing regions. To get involved, join the SEMI International Standards Program at: www.semi.org/standardsmembership.

For more information regarding Korea Advanced Back-end Factory Integration Task Force activities, please contact Natalie Shim at [email protected].

aveni S.A., developer and manufacturer of market-disrupting wet deposition technologies and chemistries for 2D interconnects and 3D through silicon via packaging, today announced it has obtained results that strongly support the continued use of copper in the back end of line (BEOL) for advanced interconnects, at and beyond the 5nm technology node.

“In this 20th-anniversary year of copper integration, our results validate the comments made by IBM Research Fellow Dan Edelstein in his keynote presentation at the recent IEEE Nanotechnology Symposium, discussing that copper integration is here to stay,” noted Bruno Morel, aveni CEO.

As devices inevitably continue to shrink to meet (and create) market demand, designers are exploring alternative integration schemes, not only for the front end of line, but also the BEOL. This includes, most notably, replacing the copper in dual-damascene interconnects, to compensate for the increased resistance-capacitance (RC) delay that accompanies the thinner copper wires and adversely affects device speed. Proposed replacement options for copper are cobalt, the most likely candidate, or more exotic materials like ruthenium, graphene or carbon nanotubes.

Advanced dual-damascene structures employ an atomic layer deposition tantalum nitride (TaN) copper diffusion barrier, a thin chemical vapor deposition (CVD) cobalt liner, and the electroplated copper fill layer, which makes up most of the wiring. Earlier generations (≥7nm node) also use a physical vapor deposition (PVD) copper seed layer between the cobalt and copper fill, but advanced devices are phasing out this film due to marginal seed coverage and integration hurdles.

Of particular interest is the thin TaN barrier, which prevents copper from diffusing into and poisoning the device. The integrity of the thin cobalt liner (on top of TaN) is critical to ensuring that the barrier functions properly. The reduced thickness of cobalt liners for the 5nm technology node is approaching 3nm, reducing process flexibility for conventional approaches to copper plating.

In a recent study, aveni compared its Sao™ alkaline-based copper electroplating chemistry performance with a conventional, commercially available acidic copper plating chemistry. The samples to be plated were 3nm CVD cobalt over TaN. The study results showed that the acidic copper chemistry attacked the cobalt liner, causing the plating chemistry to react with the underlying TaN film and form tantalum oxide (TaOx). TaOx formation is another failure mode of devices, because it creates an effective open circuit that prevents current flow.

With aveni’s Sao chemistry, the cobalt remained intact and TaOx was not formed, which enables the extension of copper interconnects to process nodes at 5nm and below.

Frédéric Raynal, chief technical officer at aveni, commented, “We were extremely excited about these results, because they substantiate our position that Sao alkaline-based chemistry for copper electroplating is superior to acidic chemistries, especially with the thinner cobalt liners used in advanced nodes.”

aveni will publish the complete findings in a report in early 2018.

 

Today, SEMI, the global industry association representing the electronics manufacturing supply chain, released its Year-end Forecast at the annual SEMICON Japan exposition. SEMI projects that worldwide sales of new semiconductor manufacturing equipment will increase 35.6 percent to US$55.9 billion in 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year.

The SEMI Year-end Forecast predicts a 37.5 percent increase in 2017, to $45.0 billion, for wafer processing equipment. The other front-end segment, which consists of fab facilities equipment, wafer manufacturing, and mask/reticle equipment, is expected to increase 45.8 percent to $2.6 billion. The assembly and packaging equipment segment is projected to grow by 25.8 percent to $3.8 billion in 2017, while semiconductor test equipment is forecast to increase by 22.0 percent to $4.5 billion this year.

In 2017, South Korea will be the largest equipment market for the first time. After maintaining the top spot for five years, Taiwan will place second, while China will come in third. All regions tracked will experience growth, with the exception of Rest of World (primarily Southeast Asia). South Korea will lead in growth with 132.6 percent, followed by Europe at 57.2 percent, and Japan at 29.9 percent.

SEMI forecasts that in 2018, equipment sales in China will climb the most, 49.3 percent, to $11.3 billion, following 17.5 percent growth in 2017. In 2018, South Korea, China, and Taiwan are forecast to remain the top three markets, with South Korea maintaining the top spot at $16.9 billion. China is forecast to become the second largest market at $11.3 billion, while equipment sales to Taiwan are expected to approach $11.3 billion.

The following results are in terms of market size in billions of U.S. dollars:

equipment forecast