Category Archives: Materials and Equipment

July 13, 2012 — At SEMICON West 2012, this week in San Francisco, CA, the working groups of the International Technology Roadmap for Semiconductors (ITRS) held 3 sessions (TechXPOTs) outlining 2012 updates to the roadmap. Check out the updates to the front-end, scaling roadmap working groups here.

The ITRS undergoes major revisions on odd-numbered years. 2012 being an even-numbered year, very little change occurred to the Overall Roadmap Technology Characteristics (ORTC). However, within the working groups, some updates were worth noting.

Also read: 2011 ITRS: DRAM, 3D Flash, MEMS, nano-scaling steal the show

First, the changes to the ORTC, presented at the TechXPOT by Bob Doering. Of interest were changes focused directly or indirectly on 450mm. ITRS has moved the forecast production start date to 2015-2016. The definition of

July 12, 2012 — After meeting with various semiconductor manufacturing tool suppliers — Applied Materials, KLA-Tencor, Lam Research, Tokyo Electron, Teradyne and Cymer — at SEMICON West, Terence Whalen, semiconductor equipment sector analyst, Citi and colleagues share impressions on foundry spending plans and tool choices.

Whalen observes that Applied Materials (AMAT) expects a large ($500 million) H2 2012 foundry pushback, lowering its Q3 guidance to the bottom of its range, while other tool suppliers report virtually no change to their foundry customers’ plans. There is no change to TSMC’s aggregate 2012 capacity plan that Citi’s taiwan semiconductor analyst Roland Shu could find, though minor shifts in critical layer configurations could (at least partially) explain how AMAT could see weakness not experienced by other vendors.

Speculation has been “markedly negative” on H2 2012 semiconductor demand, Citi says, including talk of NAND capitulation, skepticism that foundry might weaken, and questions on whether Intel might reduce its blockbuster capex. Meetings at SEMICON West are largely confirming tool suppliers’ suspicions that there will be weak orders in Q3, picking up in Q4. Part of 3Q’s weakness may be amplified by heightened seasonality that arises given higher customer concentration, Whalen says. However, Citi accepts the potential for improving semiconductor demand into Q3, which might strengthen capex in Q4.

Foundry orders will rebound sooner in H2 2012 than NAND, which see increases in H1 2013, Citi predicts. Based on its interviews with Lam Research and others, Citi expects flat or slightly better foundry capex in 2013, driven by steady 28nm deployments.

Some notes on equipment trends:

  • Single-wafer clean is gaining traction as chipmakers performance demands rise (source: Lam Research);
  • Intel’s investment in ASML is likely a move to coordinate supplier timing to chipmaker need on the transition to 450mm wafers;
  • 20nm foundry activity is increasing (source: KLA-Tencor);
  • Tokyo Electron is looking to grow its business in semiconductor packaging (recent NEXX buy) and organic light-emitting diode (OLED) fab.

Read more from Whalen at http://ir.citi.com/%2BnkGI0K%2BGgl2DqdhefgsTRHbYsIMp6NZjGciK%2FrzUDc%3D

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 11, 2012 – Business Wire — The MiQro Innovation Collaborative Centre (C2MI) is improving its state-of-the-art micro electro mechanical system (MEMS) facility with Eyelit Manufacturing software to support R&D and the commercial activities of sponsor companies. C2MI is also installing a suite of new wafer processing tools from SPTS Technologies.

The C2MI is an international center for the development of advanced microsystems, focusing on 200mm MEMS and 3D wafer-level semiconductor packaging (WLP).

Eyelit’s software will be used to help produce prototypes and advance the commercialization of devices in fields such as information and communication technologies, automotive, aerospace, environment, and healthcare. C2MI will take advantage of Eyelit’s data partitioning capability to protect the intellectual property of C2MI members in the collaborative environment. Eyelit’s modular system offers MES, SPC, and Asset Management with fast and cost-effective deployment. Its user interface supports the concurrent display of multiple languages. The Web-based software does not require third-party software to access production data.

Eyelit’s products have recently been deployed at other research centers such as SEMATECH and CEA-Leti.

C2MI also added multiple SPTS Versalis fxP (etch, chemical vapor deposition [CVD]) and AVP thermal processing systems for through silicon via (TSV), MEMS and bulk acoustic wave (BAW) manufacturing.

The Versalis fxP, a cluster system that provides an integrated solution with multiple process steps, is fitted with Pegasus Rapier, APS, ICP and Isopod plasma etch modules together with an APM unit for plasma-enhanced CVD (PECVD). The system is used in multiple process steps including deep silicon etching, patterning of aluminum-based metals for interconnect, and the formation of aluminum nitride (AlN) bulk acoustic wave resonators in advanced packaging and MEMS applications.

The AVP vertical furnaces provide a wide variety of processes specifically developed for productive, low COO MEMS applications. At C2MI, the systems will be used to deposit thick doped polysilicon, stress-controlled Silicon Nitride and TEOS-based oxide films as well as thermal oxidation processes. In addition, SPTS will collaborate with partners at C2MI on the development of next-generation thermal technology for applications in MEMS and advanced packaging.

SPTS Technologies (a Bridgepoint portfolio company) designs, manufactures, sells, and supports etch, PVD, CVD and thermal wafer processing solutions for the MEMS, advanced packaging, LEDs, high speed RF on GaAs, and power management device markets. With manufacturing facilities in Newport, Wales, Allentown, Pennsylvania, and San Jose, California, the company operates across 19 countries in Europe, North America and Asia-Pacific. For more information about SPTS Technologies, please visit www.spts.com.

Eyelit Inc. makes manufacturing execution and quality management systems (MES and QMS) for the aerospace & defense, electronics, semiconductor, and solar industries. Learn more at www.eyelit.com.

The MiQro Innovation Collaborative Center (C2MI) is an international reference in the fields of advanced packaging and microsystems. The C2MI is a centre of excellence for commercialization and research (CECR) whose role is to help produce market-driven prototypes in the fields of applications of the information and communication technologies, automobile, aerospace as well as environment and health to accelerate their commercialization. The establishment of C2MI was made possible by contributions from Industry Canada, the ministère du Développement économique, de l’Innovation et de l’Exportation du Québec, Université de Sherbrooke, industrial partners and the Town of Bromont. Visit www.c2mi.ca.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

July 11, 2012 – PRNewswire – SEMICON West — International consortium SEMATECH qualified the GEMINI automated wafer bonding system from EV Group (EVG) through its systematic, rigorous Equipment Maturity Assessment (EMA) implemented within SEMATECH’s 3D Interconnect program and ISMI’s EMA team. The assessments of several tools are designed to determine equipment readiness for high-volume manufacturing (HVM) of 3D integration technologies. EVG

July 10, 2012 — Semiconductor equipment sales will reach $42.4 billion in 2012, according to the mid-year edition of the SEMI Capital Equipment Forecast, released at SEMICON West 2012.

Driven by consumer demand for tablets, smartphones, and other mobile devices, chipmakers continue to purchase manufacturing technology equipment, but at a slightly lesser rate than in 2011. The forecast indicates that, following a 9% capex increase in 2011, semiconductor makers are spending 2.6% less in 2012, although 2012 will be the fourth highest spending year in history, following 2000, 2007, and 2011. The industry is “absorbing new capacity,” said Denny McGuirk, president and CEO of SEMI. 2012 is part of a “multi-year market expansion,” he added, with 2013 spending to accelerate to $46+ billion.

In wafer processing equipment, the industry will spend $33.0 billion in 2012, the second highest spending year ever for this segment (the best was 2011), falling 3.8% year-over-year (Y/Y). Wafer processing equipment is the largest product segment by dollar value

The market for test equipment ($3.8 billion) and assembly & packaging equipment ($3.4 billion) will remain essentially flat (up 0.2% and 0.9%, respectively, Y/Y).

Growth is forecasted in 2 regions in 2012; Korea and Taiwan will become the largest two markets for equipment spending. 

In 2013, Korea and North America are expected to claim the top spots, with Taiwan slipping to third place in terms of equipment spending.

Tables. SEMI’s market size forecast in billions of US dollars and percentage growth over the prior year. SOURCE: 2012 SEMI Mid-Year Consensus Forecast.

SEMI is a global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org or go straight to www.semi.org/en/MarketInfo.

Visit the Semiconductors Channel of Solid State Technology!

July 10, 2012 – BUSINESS WIRE — Semiconductor manufacturing equipment supplier SUSS MicroTec uncrated the third generation of the ACS200 Coating and Developing Platform, developed from the company’s ACS200Plus and Gamma platforms.

The ACS200 Gen3 offers the capability to combine four wet process modules with up to 19 plates for high-volume production. State of the art offerings include open bowl spin coating and proprietary GYRSET closed cover coating technology.

The configuration flexibility of modules and technologies suits production of advanced semiconductor packages, micro electro mechanical systems (MEMS) and light-emitting diodes (LEDs). The tool can also be used to bridge the gap between research & development (R&D) and high-volume manufacturing (HVM).

SUSS MicroTec, listed on TecDAX of Deutsche Boerse AG, is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. For more information, please visit http://www.suss.com.

July 9, 2012 – PRNewswire — Ultratech Inc. (Nasdaq:UTEK), a leading supplier of lithography and laser-processing systems used to manufacture semiconductor devices and LEDs, formed ‘exclusive supplier’ and ‘preferred tool vendor’ agreements with several top-tier advanced packaging companies around the world.  Combined, these companies account for almost 60% of the electroplated flip chip market that Ultratech addresses.

Also read: Challenges in Flip Chip Assembly

These agreements, along with the recent acquisition of over 200 U.S. and foreign advanced packaging patents, strategically position Ultratech to meet the lithography packaging requirements for the future device nodes of its global customer base.  They additionally underscore Ultratech’s ongoing commitment to provide its customers with leading-edge technology solutions and low cost-of-ownership advantages.

Ultratech Vice President, Advanced Packaging Technology/Nanotechnology Markets Manish Ranjan explained, "Ultratech has maintained its leading position in AP for almost 10 years.  Several of our customers have signed multi-year agreements that range from ‘exclusive supplier’ to ‘preferred tool vendor’ because they understand the technical and economic advantages our tools deliver for advanced volume manufacturing.  These agreements highlight the close working relationship that Ultratech has with its strategic customers.  These long-term relationships provide valuable insight for the development of market-specific features that enable our customers’ next-generation devices.  Building on the recent acquisition of AP patents and the multi-year vendor agreements, Ultratech will continue to work to develop lithography systems that meet its customers’ leading-edge, advanced packaging needs."

The AP300 300-mm lithography system is built on Ultratech’s customizable Unity Platform