Category Archives: Metrology

March 13, 2012 — Molecular beam epitaxy equipment supplier Riber will work with research organization imec on epitaxy process technologies for next-generation III-V semiconductor CMOS devices. Within imec’s Germanium and III-V devices program, imec and its core partners are exploring the efficacy of high-mobility channel materials for CMOS devices for advanced nodes (below 45nm).

The partners collaborated previously on advanced channel materials for high-performance CMOS scaling, germanium and compound semiconductor (III-V) materials. Riber and imec developed effective passivation techniques for germanium (Ge) and gallium arsenide (GaAs) to resolve bottleneck issues at gate stack passivation. Riber’s 200mm III-V and metal oxide MBE cluster provided an extremely clean background without any interfering gas phase components, enabling material and interface control on the atomic level.

In this successful passivation scheme for the MOS gate stack module, controlling the GaAs surface reconstruction followed by a H2S passivation treatment and in-situ high-k deposition were crucial to create a well-passivated MOS structure with record-low interfacial state density. The first successful MOS capacitors on a new high-mobility candidate material, GeSn, were made in the 200mm Riber MBE cluster.

Also read: Integrating III-V on silicon for future transistor applications

In the new project, the partners will evaluate Riber’s 300mm UHV chamber (ISA300) equipped with in-situ tools for surface analysis and clustered with state-of-the-art 300mm Si CMOS production equipment. The goal is to produce advanced CMOS devices based on high-mobility Ge and III-V channels. They will use Riber’s UHV chamber for study and control of surface structures; gather knowledge on gate stack passivation from a 200mm research environment to a 300mm fab-compatible platform; and demonstrate the technological viability of a 300mm MBE-module, clustered with standard 300mm Si CMOS production equipment.

Using the ISA300 chamber in a 300mm cluster configuration will allow for higher performance in terms of devices operating at high frequencies with reduced energy consumption, said Frédérick Goutard, Riber CEO.

This project will allow for application of powerful in-situ surface analysis tools in CVD and ALD equipment, which so far was not feasible due to the gas-phase environment. MBE-like UHV-techniques for interface control and passivation will benefit the development of next-generation CMOS technologies, said Hans Lebon VP fab operations and process step R&D at imec.

Imec performs world-leading research in nanoelectronics. Further information on imec can be found at www.imec.be

Subscribe to Solid State Technology

March 13, 2012 — Worldwide sales of semiconductor manufacturing equipment totaled $43.53 billion in 2011, representing a year-over-year increase of 9%, shows the Worldwide Semiconductor Equipment Market Statistics (SEMS) Report from SEMI.

Categories cover wafer processing and other front-end equipment, assembly and packaging, and test. Other front-end includes mask/reticle manufacturing, wafer manufacturing, and fab facilities equipment.

The global wafer processing equipment market segment increased 15%, the assembly and packaging segment decreased 14%, and total test equipment sales decreased 9%. Other front-end equipment sales grew by 5%.

Worldwide billings totaled $43.53 billion in 2011, compared to $39.93 billion in sales posted in 2010. Also read: Semiconductor fab equipment spending to hit a record in 2013

Spending rates varied for all the regions tracked in the WWSEMS report, with increases reported for Europe, North America, and Japan. North America surpassed Taiwan as the region with the highest amount of spending with $9.26 billion in equipment sales. The Korea market claimed the second place for the second year in a row with $8.66 billion in sales; Taiwan fell to the third position with a regional decrease of 24%.

Table. 2010-2011 Semiconductor Capital Equipment Market by World Region. (Dollars in US billions; Percentage Year-over-Year). Source: SEMI/SEAJ March 2012.

Region

2011

2010

% Change

North America

9.26

5.75

61%

South Korea

8.66

8.63

0%

Taiwan

8.52

11.25

-24%

Japan

5.81

4.44

31%

Europe

4.22

2.34

80%

China

3.65

3.68

-1%

Rest of World
(Singapore, Malaysia, Philippines,
other areas of Southeast Asia and
smaller global markets)

3.41

3.84

-11%

Total

43.53

39.93

9%

Note: Figures may not add due to rounding.

Compiled from data submitted by members of SEMI and the Semiconductor Equipment Association of Japan (SEAJ), the Worldwide SEMS Report is a summary of the monthly billings and bookings figures for the global semiconductor equipment industry. The report includes data for seven major semiconductor producing regions and 24 product categories. The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports: the monthly SEMI Book-to-Bill Report, which offers an early perspective of the trends in the equipment market; the monthly Worldwide Semiconductor Equipment Market Statistics (SEMS), a detailed report of semiconductor equipment bookings and billings for seven regions and over 22 market segments; and the SEMI Semiconductor Equipment Consensus Forecast, which provides an outlook for the semiconductor equipment market. For more information, visit www.semi.org.

March 12, 2012 – PRNewswire — Metrology tool maker Nova Measuring Instruments Ltd. (NASDAQ:NVMI) received $12 million in orders from leading semiconductor foundries for metrology on 2Xnm manufacturing ramp ups.

Also read: Evolution or revolution: the path for metrology beyond the 22nm node

Orders from various foundries include a portfolio of Nova’s advanced metrology products for the complicated process challenges and shrinking geometries of 2Xnm semiconductors. Nova’s newest platform models, NovaMARS modeling software, and extended capabilities on current tools will support 2Xnm manufacturing ramp up at chemical-mechanical polishing/planarization (CMP), etch, and other wafer processing steps.

Nova expects to ship the tools during the current and next quarters in 2012.

Nova Measuring Instruments Ltd. develops, produces and markets advanced integrated and stand alone metrology solutions for the semiconductor manufacturing industry. Nova is traded on the NASDAQ & TASE under the symbol NVMI. The Company’s website is http://www.nova.co.il.

Subscribe to Solid State Technology

March 9, 2012 — Confovis will begin providing MountainsMap imaging and surface analysis software from Digital Surf with its ConfoCAM LED grid-confocal measuring systems for inspection and research.

MountainsMap imaging and surface analysis software provides real-time 3D imaging, using the latest surface metrology standards and methods and generating quality reports automatically. It allows users to visualize the 3D surface topography of a sample in real time, aiding in surface texture and geometry metrology. It uses ISO 25178 3D parameters and ISO 16610 advanced filtering techniques. Full metrological traceability is assured because every analysis step is recorded in a hierarchical analysis workflow and series of measurement data sets can be analyzed automatically using a workflow as a template. Universal ASCII data export means that numerical results can be exported in Excel-compatible text files for interfacing with third-party systems.

ConfoCAM LED grid-confocal measurement systems have no mechanical moving parts in the illumination, axial (Z) resolution down to 1nm, and fast processing speeds in a compact form factor. The tools are designed to inspect semiconductors, solar cells, flat panel displays (FPDs), lenses, medical devices, precision components after milling and grinding, optoelectronics components, micro electro mechanical system (MEMS), coatings and polymer surfaces, microfluidic chips, and more.

Confovis provides optical surface test and measurement instrumentation, suiting semiconductor and flat-panel display (FPD) inspection tasks.

Digital Surf provides surface analysis software for all types of surface metrology instrument including 2D and 3D profilometers, optical microscopes and scanning probe microscopes. Internet: www.digitalsurf.com.

March 6, 2012 — Semiconductor fab equipment spending will remain flat (0% increase) in 2012, shows SEMI’s World Fab Forecast report. But look for a record spend from semiconductor makers in 2013, jumping from $38.85 billion spent in 2012 to $45 billion in 2013.

Eight companies, including Samsung and Intel, will keep their fab equipment spending level above $2 billion in 2012. Key spenders increased their 2012 capex budgets, pushing SEMI’s year forecast from negative to flat. Samsung plans to spend at least $41.4 billion overall, with a hefty amount going to capex; Hynix increased spending in 2012 by 23% ($3.75 billion planned); UMC increased spending from to $2.0 billion; Intel increased spending to a historic high of about $12.5 billion.

If macroeconomic factors improve and other companies adjust their capex plans as well, then equipment spending for 2012 could cross into positive territory.

Table. Fab equipment spending (new and used). Figures in US$ Million. Source: SEMI World Fab Forecast February 28, 2012 edition.
  2008 2009 2010 2011 2012 2013
Semis, discretes, and LED $25,960 $14,446 $33,568 $38,965 $38,850 $45,498
Change % -32% -44% 132% 23% 0% 17%
300mm only $22,473 $12,031 $26,058 $29,986 $34,270 $40,580
Change % -28% -46% 117% 15% 14% 18%

Spending will rise in 2013, especially from Foundry, System LSI, MPU and NAND sectors. The trend is toward fab upgrades to manufacturing leading-edge semiconductors, while a few are also ramping up fab capacity. Coming out of the downturn from 2010 on, yearly capacity growth is 5-10%, remaining low for the foreseeable future. However, SEMI’s fab data shows rapid increases in fab equipment spending for some segments, leading also to an increase in installed capacity. SEMI expects 192 semiconductor manufacturing facilities will invest in equipment in 2012 (latest World Fab Forecast, February 28, 2012).

While installed capacity for DRAM is expected to level out, Flash capacity is growing rapidly between 2010 and 2013. The dedicated foundry sector will also undergo growth in installed capacity with the key contributors like TSMC, Globalfoundries and UMC.

2011 was a strong year for fab construction, with a 24% increase over a decent 2010 to $6.4 billion. This area will fall off in 2012, with a decline of about 28% to $4.5 billion. Data of the World Fab Forecast show an even further decline in 2013.
 
Lower construction spending compared to recent years, especially on new fabs, raises some concern about available capacity beyond 2013. Overall, the industry has tried to control installed capacity since coming out of the 2009 downturn. Now due to increasing demand, some segments, such as Flash, Foundry, and System LSI, are experiencing a boost in installed capacity.

The SEMI World Fab Forecast uses a bottom-up approach methodology, providing high-level summaries and graphs; and in-depth analyses of capital expenditures, capacities, technology and products by fab. Additionally, the database provides forecasts for the next 18 months by quarter. SEMI’s Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses. The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. The recently released SEMI Fab database report enables data analysis by technology node, product type, region, company and fab by fab. Access the report at www.semi.org.

Subscribe to Solid State Technology

March 5, 2012 — After only 2 months, semiconductor foundries are already considering raising their 2012 capital expenditure (capex) budgets, says Terence Whalen, Semiconductor Equipment analyst for North America at Citi.

The wafer foundry ramp up shows no signs of ending, with TSMC and Samsung likely to raise capex in 2012 to accommodate 28nm demand. Coupled with improving gross domestic product (GDP) and Purchasing Managers Index (PMI) that could increase overall IC demand in 2H (see the figure), wafer fab equipment (WFE) orders could grow 5-10% in 2012.

Figure. Wafer fab equipment spending in relation to the global economy. SOURCE: Citi and company reports.

Whalen reports "widespread concern" over conservative 28nm capacity plans at TSMC. TSMC could meet only 60% of Qualcomm’s demand for 28nm capacity; Nvidia could not get its desired 28nm allocation, hurting 28nm shipments for the company; smaller customers have seen their 28nm plans unmet by the foundry. TSMC seems to be reevaluating their 28nm plan, especially with customers exploring other foundries for partnerships. TSMC could add as many as 35,000-40,000  wafer starts per month (WSPM) by the end of 2012, at least 10,000 more than originally planned. This would add $1.5 billion to $2 billion to TSMC’s $6 billion capex budget.

Samsung could benefit from the conservative 28nm capacity available at its competitors. Qualcomm recently committed significant 28nm orders to Samsung (10-15K WSPM); AMD is in deep discussion for 28nm CPU production at Samsung. Samsung is likely to add more capex to meet new demand for its foundry services, especially in H2 for 28nm expansion. Also read: Samsung plans record investments in 2012

Read the Citi report at http://ir.citi.com/TSF43R7lOMsfHHl5CDRgUjFs9kcXoS9IFs0ZC7UVL6o%3D

Subscribe to Solid State Technology

March 2, 2012 — The National Physical Laboratory in the UK is leading a new EMRP project on thin film manufacturing metrology for industries such as opto electronics, plastic and printed electronics, displays and lighting, memories and solar cells.

NPL is joined by National Measurement Institutes from across Europe, and other partners. It is a pan-European initiative.

The project aims to create validated and traceable metrology technologies for thin film materials properties, composition, and structure; and for controlling large-area homogeneity and consistency of properties.

The project will develop the necessary metrology to control consistency of thin film processing and improve production quality to reduce costs and time-to-market for new products.

Find out more about the EMRP Thin Films project at http://projects.npl.co.uk/optoelectronic_films/

February 29, 2012 — Nanolab Technologies Incorporated inaugurated its 47,000sq.ft. state-of-the-art facility in Milpitas, CA on "Leap Day" as a nod to the company’s "Giant Leap Forward" theme for 2012. The new facility more than doubles Nanolab Technologies’ space.

Nanolab Technologies provides electron microscopy, surface analysis and failure analysis services for MEMS and IC design, process control, and fabrication issues.

The new state-of-the-art laboratory was designed to maximize the performance of extremely high resolution instruments, with precision temperature control, vibration isolation, acoustical absorption, and an electrical system design to virtually eliminate electrical and magnetic field interference (EMI), and environmental and mechanical influences. This supports expansion into new analytical service sectors, said president and CEO John Traub.

The Chiller-based Radiant Cooling System was designed and built to achieve precise temperature control that eliminates lab temperature variances. The system controls and redirects airflow to prevent turbulence that can compromise instrument performance.

The web-based Environmental Control System facilitates remote reprogramming and control of individual lab environments to precondition and stabilize operating conditions prior to the arrival of laboratory analysts. This is particularly valuable when responding to a customer emergency call at night or on weekends, when all environmental systems are optimized for minimizing energy consumption and reducing operating costs.

The new laboratory is designed around a central facilities Service Module "spine" featuring double-wall construction and three layers of high-efficiency acoustical absorption material. This is the central support core for all laboratories and houses ancillary equipment and control delivery systems for gases and fluids. All major mechanical systems are installed on an exterior isolated equipment pad.

The facility was also designed to accommodate seamless expansion with facilities in place for new laboratories as the company grows and adds new instruments, techniques and analytical services.  
 
Nanolab Technologies is a testing laboratory providing chip designers, equipment OEMs and integrated circuit fabricators with independent assessments of IC design, process control, and fabrication issues. For further information, visit www.nanolab1.com.

View recent issues of the MEMS Direct newsletter

February 29, 2012 – BUSINESS WIRE — GLOBALFOUNDRIES appointed Magnus Matthiasson, formerly of Philips Lumileds, as GLOBALFOUNDRIES chief procurement officer (CPO), reporting to CFO Dan Durn.

GLOBALFOUNDRIES aims to continually optimize its purchasing and materials spend, given the "magnitude of our annual capital-equipment and purchasing investments," said Durn. In January, GLOBALFOUNDRIES announced plans for capital spending of more than $3 billion in 2012. The spend is the final in a 3-year, $11 billion investment to expand its facilities in Singapore, Germany and New York.

Also read: Wafer fab equipment: Who’s spending in 2011-2012, who’s not

Matthiasson most recently served as VP and CPO with Philips Lumileds, leading an initiative that implemented a commodity management and operational excellence structure. He was VP and CPO at Spansion, Inc., where he increased the scope of supply management, and has also held leadership positions with KLA-Tencor and AMD. Matthiasson earned a masters of business administration degree from Arizona State University and a bachelor of science degree in mechanical engineering from Rice University.

GLOBALFOUNDRIES is a full-service semiconductor foundry with a global footprint of advanced technology and manufacturing in Singapore, Germany and the US, with three 300mm fabs and five 200mm fabs. GLOBALFOUNDRIES is majority owned by the Advanced Technology Investment Company (ATIC). For more information on GLOBALFOUNDRIES, visit http://www.globalfoundries.com.

Subscribe to Solid State Technology

February 24, 2012 — North America-based manufacturers of semiconductor equipment posted $1.18 billion in orders, $1.24 billion in billings, and a book-to-bill of 0.95 in January 2012, according to the January Book-to-Bill Report by SEMI. This is the 4th consecutive month of growth in wafer fab tool orders.

North American semiconductor manufacturing tool providers booked $1.18 billion in orders for January (three-month average), 7% more than in December and 22.1% less than January 2011.

Tool makers recorded $1.24 billion in billings (three-month average), 4.7% below December’s $1.30 billion, and 30.7% less than January 2011.

"While year-over-year bookings and billings are lower than in 2011, the current outlook for equipment spending in 2012 has improved over the past couple of months," acknowledged Denny McGuirk, president and CEO of SEMI.  

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars. A book-to-bill of 0.95 means that $95 worth of orders were received for every $100 of product billed for the month.

Month Billings (3-mo. avg, $M) Bookings (3-mo. avg, $M) Book-to-Bill
Aug 2011  1,457.7 1,162.4 0.80
Sept 2011  1,313.5 926.5 0.71
Oct 2011 1,258.3 926.8 0.74
Nov 2011 1,176.7 977.2 0.83
Dec 2011 (final) 1,300.0 1,102.9 0.85
Jan 2012 (prelim) 1,238.5 1,179.7 0.95
Source: SEMI February 2012.

The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS).

SEMI is a global industry association serving the nano- and micro-electronic manufacturing supply chains. For more information, visit www.semi.org.

Subscribe to Solid State Technology