Category Archives: Metrology

In this three-part series, SEMATECH’s authors cover metrology for FinFETs and 3D memory devices, and defect detection capabilities at 22nm. Read Part 2 on 3D memory metrology here. Part 3, sharing new defect detection technologies, can be found here.The series appears in the upcoming March 2012 issue of Solid State Technology, along with other metrology-focused pieces from KLA-Tencor and Entegris. Subscribe to Solid State Technology magazine here.

February 9, 2012 — The 22nm node marks the beginning of a major transition from conventional scaling-driven planar semiconductor devices to complex 3D transistor architectures, redefining future needs for lithographic, defect, and films metrology technologies for high-volume chip manufacturing.

FinFETs raise new metrology complexities, as the entire 3D structure becomes critical for process control, including fin and gate dimensions, profiles and roughness, and metal gate undercuts. Similarly, future 3D memory devices (Part 2 of this series) will include multiple gate-level structures defined by high aspect ratio (HAR) trenches and holes in multilayer stacks, which are major gaps in current metrology technology. No in-line non-destructive metrologies have achieved the sensitivity and resolution to image or measure CD, depth, profile, or contamination of such HAR features [1]. In addition, defect metrology inspection and review (Part 3) suffer from low sensitivity and inadequate throughput even for current 22nm defects of interest. To address these challenges, a robust metrology strategy should encompass the extendibility of conventional techniques that are approaching their fundamental limits, as well as development of new technologies.

Planar transistors are reaching their critical performance limitations due to undesirable short channel effects imposed by physical scaling. In 3-D FinFET or Trigate devices, the gate surrounds the channel on multiple sides, resulting in higher drive current [1], better electrostatic control (lower off-state leakage), and lower supply voltage requirements than planar devices. To continue to scale with Moore’s law, devices having 3D architectures will enter manufacturing in 2012 at the 22nm node.

Metrology demands for 3D structures and their more complex integration steps are considerably greater than for 2D devices. The ability to measure fin and gate dimensions accurately with good precision, and to detect subtle process changes for feedback or feed-forward control is essential to assure good device performance and high yield in high volume manufacturing (HVM). For example, variations in FinFET height (more of a concern on bulk Si substrates) can likewise lead to drive current variability. Sharp fin corners can affect threshold voltage [1], and gate dielectric undercutting can cause shorts between the gate and channel regions or Ion/Ioff variation. Fin line edge and width roughness, sidewall angle (SWA), profile, corner rounding, and gate dielectric undercutting are also critical process control variables. Some of the critical metrology steps entail critical dimension-scanning electron microscope (CD-SEM) measurements (resist and etch fin and gate CD and pitch; spacer width at the bottom; pre- and post-etch Hi-k/metal gate sidewall thickness on the fin; and sidewall line edge roughness). Additionally, scatterometry is required for fin height and gate profile, CD, and pitch (lithography and etch), buried oxide (BOX) recess under fin, gate height over fin after chemical mechanical polishing (CMP), high-k/metal gate (HKMG) thickness and taper on the fin and recess after gate etch, and spacer profile (Fig. 1a).

Figure 1a) Cross-sectional diagram perpendicular to the fin showing the gate on the fin with spacer. b) Diagram of a basic unit cell of a FinFET, demonstrating twelve important process control parameters.

Conventional metrology methods used in HVM, such as CD-SEM and optical scatterometry, may be challenged by the increased complexity of FinFETs. While CD-SEM demonstrates superior imaging capability, it has no sensitivity to fin height, layer recess, or SWA. Scatterometry is useful for FinFET metrology, but greater parameter correlation increases the measurement uncertainty, similar to increasing the number of variables in an equation. In Figure 1b, showing a diagram depicting a gate-on-fin structure, twelve parameters must be solved by the scatterometry software rather than only the five or six parameters typical for 2-D devices. One possible approach to improve the performance of metrology on complex structures is hybrid metrology, which combines the strengths of two or more metrology toolsets to provide a more comprehensive measurement of the same parameter than the individual techniques. Data obtained from one tool must be shared with another tool and used in a complementary or synergistic way to enhance the resolving power of both tools, thereby improving measurement uncertainty [2].

Several new metrology techniques are being explored at SEMATECH to improve measurement performance on FinFETs, including new technologies such as critical dimension small angle x-ray spectroscopy (CD-SAXS) [3]. The shorter wavelength (1.54Å for Cu Ka) for CD-SAXS and the lack of material dependence (no n and k sensitivities) allow measurements on smaller devices with less parameter correlation. Pitch and pitch variation can be obtained from major reflections and intensity decay with increasing order. The CD-SAXS envelope functions correlate to geometric form factors, and line width roughness (LWR)/line edge roughness (LER) information can be obtained from peak-broadening. Mueller matrix scatterometry [4] provides additional structural information associated with up to 16 spectral components compared to conventional scatterometry, which is important in measuring anisotropic 3D structures.

Dopant and carrier metrology for conventional planar devices has been performed primarily using secondary ion mass spectrometry (SIMS) and sheet resistance metrology on test pads. However, FinFET structures require novel ultra-shallow junction implant strategies because of shadowing effects on densely packed fins from conventional tilt implants. Metrology capable of measuring dopant and active carrier concentrations on vertical structures is needed, but currently poses a significant challenge. Three-dimensional atomic probe tomography (3D-APT) [5] combines field evaporation with time-of-flight mass spectrometry and a position-sensitive detector to provide atomic resolution imaging of the semiconductor device, including dopants. Similarly, scanning spreading resistance metrology (SSRM) is a candidate for active carrier metrology at nanometer spatial resolution. SSRM has demonstrated excellent performance in conjunction with 3D-APT and SIMS [6]. Transmission electron microscopy (TEM) techniques such as energy-dispersive X-ray (EDX) and electron energy-loss spectroscopy (EELS) are valuable in determining dopant concentration and distributions. As these implant metrology techniques are destructive, in some cases, it may be possible to create sacrificial test structures on selected die without affecting subsequent processing. Optically based implant metrology will also be more difficult on sidewalls and on structures having optically opaque layers.

Conclusion, Part 1

As device technology transitions from traditional scaling to new architectures, new application needs are driving metrology towards evolutionary and revolutionary shifts in technologies and methodologies.

Part 2 of this series covers metrology for 3D memory device architectures. Read it here.

Part 3 covers new defect detection technologies for these architectures. Read it here.

References

[1] Doyle, B. et al., “Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout,” Symposium on VLSl Technology Digest of Technical Papers, pp. 133-134 (2003).

[2] Vaid, A., et al. “A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM.” Metrology, Inspection, and Process Control for Microlithography XXV. Proceedings of the SPIE, Volume 7971, pp. 797103-797103-20 (2011).

[3] Wang, C., Choi, K., Chen, Y. Price, J., Ho, D., Jones, R., Soles, C., Lin, E., Wu, W.L., Bunday, B. “Nonplanar high-k dielectric thickness measurements using CD-SAXS.” Proc. SPIE, v. 7272, pp 72722M (2009).

[4] De Martino, A., et al., “Comparison of Spectroscopic Mueller Polarimetry, Standard Scatterometry and Real Space Imaging Techniques (SEM and 3D-AFM) for Dimensional Characterization of Periodic Structures,” Proc. of SPIE Vol. 6922, 69221P (2008).

[5] Larson, D.J., and Kelly, T. F., “Nanoscale Analysis of Materials using a Local-Electrode Atom Probe,” Microscopy and Microanalysis Volume: 20, Issue: 3, pp: 59-62 (2006).

[6] Mody, J. et al., “Dopant and Carrier Profiling in FinFET-Based Devices with Sub-Nanometer Resolution,” 2010 Symposium on VLSI Technology, pp. 155-156

Abraham Arceo is a metrology development engineer at SEMATECH Advanced Metrology group. For the past three years he has been involved in film and defect inspection metrology development.

Benjamin Bunday is the project manager of CD Metrology and a Senior Member Technical Staff at SEMATECH (Albany, NY, USA). For ten years he has led SEMATECH/ISMI’s CD-SEM and OCD benchmarking, advanced CD technology evaluation and development efforts, and SEMATECH’s Advanced CD Metrology Advisory Group (AMAG).

Aaron Cordes is a research engineer for Sematech in Albany doing work on AFM, TEM, and focused ion beam metrology. He is also a PhD student with SUNY Albany’s College of Nanoscale Science and Engineering.

Victor Vartanian is a metrology engineer at SEMATECH in Albany, New York. Before coming to SEMATECH, he worked at Freescale Semiconductor in Austin, Texas, where he worked on applications of strained silicon to advanced transistor design and analytical applications of FTIR and mass spectrometry to environmental issues in semiconductor manufacturing and in process optimization.

February 8, 2012 – PRNewswire — Theta Delta (TD) will incorporate Core Wafer Systems Inc. (CWS) technologies into its burn-in test and long-term reliability test systems for semiconductors. Core Wafer Systems provides parallel measurement schema for semiconductor test.

This alliance covers an install base of over 350 system testers, including customers such as Raytheon and Triquint.

The exclusive agreement allows Core Wafer to market, sell, and deliver improvements to TD’s burn-in and long term reliability system testers. Improvements for building functionality into CWS software and creating advanced reliability test libraries for long stress times for specific use cases are identified. Core Wafer will retool the tester to use their proprietary source measure units (SMU) and reliability algorithm test library, PDQ-WLR 7, for better performance. The goal is faster and lower-cost wafer test, allowing the correlation in test variables as users move from accelerated times to long-duration stress times.

Also read: CWS upgrades on-wafer accelerated reliability line for Agilent testers

The alliance with TD joins Core Wafer’s semiconductor and nanotechnology business and magnetics test systems unit as a third revenue stream.

"This alliance positions Core Wafer Systems as the only company that can address semiconductor manufacturers’ needs from accelerated to long-term testing and burn-in which allows direct data correlation from on-wafer to package devices," said Greg Miller, member of the Board of Directors at APII and marketing director at CWS, adding that the partnership has already led to contractual conversations with a Taiwanese manufacturer with an estimated value of over $800,000 in the second half of 2012. Core Wafer expects to pull in $6 million+ over the next 24 months thanks to the TD alliance.

Action Products International, Inc. (OTC Pink:APII.PK) is the parent company of Core Wafer Systems, Inc., which provides parallel measurement schema for evaluation of physical phenomena in semiconductor and magnetic devices and processes. Learn more at http://www.corewafer.com or http://www.actionproductsinternational.com.

Subscribe to Solid State Technology

February 8, 2012 — The Semiconductor Industry Association (SIA), representing US semiconductor manufacturing and design, released the 2011 International Technology Roadmap for Semiconductors (ITRS), a roadmap of near-term and long-term challenges and innovations for the semiconductor design and manufacturing industry through 2026.

Also read: ITRS 2010: What happened during this off-year?

The ITRS is sponsored by five regions of the world; Europe, Japan, Korea, Taiwan, and the United States and is overseen by SIA. The 2011 ITRS was first presented at a public forum in Incheon, Korea on December 14, 2011. Through the cooperative efforts of the global chip manufacturers and equipment suppliers, research communities and consortia, the Roadmap teams identify critical challenges, technical needs and potential solutions.

2011 edition. Several key areas of advancement have been highlighted in the 2011 ITRS, specifically: DRAM and Flash memory, and micro-electro-mechanical systems (MEMS).

Dynamic random access memory (DRAM) technology development will be accelerated, allowing for new higher-performance servers and sophisticated graphics for game consoles. Flash technology, used as memory in mobile computing devices such as digital cameras, tablet PCs and cell phones, will experience accelerated development over the next 2 years. The introduction of three-dimensional (3D) flash technology, beginning in 2016, will bring greater memory capabilities to a range of popular consumer electronics.

The 2011 ITRS also explores the newest possibilities for innovative interconnects, switches, devices, and materials to advance nanotechnology. While the continued scaling down to the nanometer level occurs, innovative designs and models for new applications and products have expanded research and development of MEMS, increasingly included in smartphones, tablets, digital cameras, and numerous other consumer electronic products. Researchers are also increasing attention on RF and analog mixed-signal technologies.

Also read: NIST collaborates on MEMS roadmaps: ITRS, iNEMI

One of the primary challenges that the industry has identified is how to decrease the size of semiconductors while increasing performance standards to meet consumer demands. In addition to addressing scale and performance challenges, the ITRS presents models for enhancing the highly complex manufacturing and measurement processes required to achieve smaller, higher performance and more energy efficient semiconductors. The ITRS also focuses on cost-effective manufacturing and resource conservation to meet the rapidly changing needs of semiconductor design innovations.

Also read: Packaging, assembly changes coming in next ITRS

Each ITRS working group coordinates with related teams across disciplines to write reports indicating the state of the current technology, technology challenges, critical needs, potential solutions, and areas of innovation. When incorporated into the ITRS, the reports provide guidelines for the global industry that are intended for technology assessment only, without regard to any commercial considerations. The roadmap can serve as a guide for corporate strategic plans and business unit programs; help to assess lead times for equipment development plans, process and materials; and assess key metrics for industry productivity/profitability such as progress on Moore’s law, productivity trends, industry cycles and economic models.

Access the ITRS at www.itrs.net

Subscribe to Solid State Technology

Feburary 7, 2012 — The electronics industry will edge out coal-fired boilers as the biggest purchaser of ultrapure water systems and consumables in 2012, according to the McIlvaine report: Ultrapure Water World Markets. In addition to chip makers and coal power plants, manufacturers of flat panel displays (FPD) will round out the top-3 spenders.

Ultrapure water includes boiler feed water, semiconductor rinsing water, pharmaceutical water used in products injected into humans, and the slightly less pure water for soft drinks and cosmetics. The global revenues for ultra-pure water systems and consumables will top $4 million this year.

Table. Ultrapure Water System and Consumables Revenues. SOURCE: McIlvaine.
Industry $K of ultrapure water spending
Electronics $1,377,103
Coal-fired Power $1,105,033
Flat Panel Displays $566,031
Pharmaceutical $412,474
Industrial Power $334,482
Gas Turbines $69,360
Other Industries $184,684
Worldwide 2012 total $4,049,167

Users are typically in Asia, where coal-fired power is growing and the majority of electronics, including semiconductors, are manufactured. Nearly all the world’s flat panel displays are built in Asia.

Ultrapure water technologies include membrane filtration systems for reverse osmosis, degasification, microfiltration, and ultrafiltration, each using different apertures.

In 2012, continuous electrodeionization will erode conventional ion-exchange technology’s market share, as it improves purification. As the line sizes in chips are reduced, the purification requirements for rinse water rises proportionately.

Ultrapure water systems can be quite complex. For example, in a semiconductor plant there can be a whole train of purification equipment followed by reverse osmosis. The purified water is then sent to one of the etching processes. The concern that some contamination could occur in the piping dictates the installation of a membrane cartridge filter.

For more information on Ultrapure Water World Markets, visit http://www.mcilvainecompany.com/brochures/water.html#n029.

February 7, 2012 — Worldwide silicon wafer revenues improved 2% year-over-year in 2011 ($9.9 billion), shows the SEMI Silicon Manufacturers Group (SMG). Worldwide silicon wafer area shipments, however, decreased 3% (9,043 million square inches). This indicates a loss of momentum in H2 2011, said Kazuyo Heinink, chairwoman of SEMI SMG and vice president, MEMC.

Table. Annual silicon* industry trends worldwide. SOURCE: SEMI.
Silicon Data  2006 2007 2008 2009 2010 2011
Area Shipments (MSI) 7,996 8,661 8,137 6,707 9,370 9,043
Revenues ($B) 10.0 12.1 11.4 6.7 9.7 9.9
*Shipments are for semiconductor applications only and do not include solar applications.

Also read: Record semiconductor sales in 2011, says SIA and WTO says China’s export restrictions on silicon and other materials unfair

Silicon wafers are the fundamental building material for semiconductors, produced in diameters from 1" to 12". All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, and non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc). SEMI is a global industry association serving the nano- and microelectronic manufacturing supply chains. For more information, visit www.semi.org.

Subscribe to Solid State Technology

February 6, 2012 — Rudolph Technologies Inc. (NASDAQ:RTEC), process characterization equipment and software supplier, delivered the first MetaPULSE metrology system to measure under bump metallization (UBM) and redistribution layers (RDL) in advanced package manufacturing.

The MetaPULSE system has been proven in metrology for front-end wafer processing applications. This is the first use in back-end packaging metrology. The MetaPULSE system offers nondestructive measurements with small spot size, speed, and accuracy for measurements on patterned wafers. It can measure each layer within the completed multilayer stack or separate layers as they are deposited.

The tool was shipped in Q4 2011 and has been installed at the user site, a major IC manufacturer. The evaluation program took over 12 months.

The customer decided to implement on-product metrology as decreasing feature sizes and increasing pattern densities introduced pattern-dependent deposition effects in their plating process, Rudolph representatives stated.

Numerous other logic, memory and foundry customers are considering the metrology technology to expand into additional critical back-end applications. RDL and UBM route dense I/O signals between the circuit and the electronic system. Pattern-dependent deposition effects that must be measured on patterned product wafers, rather than the unpatterned monitor wafers used in previous process generations.

Rudolph Technologies Inc. designs, develops, manufactures and supports defect inspection, process control metrology, and data analysis systems and software used by semiconductor device manufacturers. Additional information can be found on the company

January 31, 2012 — The global semiconductor chip market will see a slow 2012, reports IHS, with uncertainty in global economics and semiconductor inventory not moving quickly enough to stimulate new production. Semiconductor industry revenue in 2012 will hit $323.2 billion, up 3.3% from 2011, shows an IHS iSuppli Global Manufacturing Market Tracker report. This is better than the near-flat growth seen in 2011 (1.25%).

Expect negative growth in Q1 2012, a nascent rebound in Q2, and strong growth in Q3 2012.

  2010 2011 2012 2013 2014 2015
Billions of US Dollars $307.0 $312.8 $323.2 $348.7 $371.5 $397.7
Figure. Worldwide semiconductor industry revenue forecast. SOURCE: IHS iSuppli.

If the United States and the rest of the world recover economically in 2013, growth from 2013 to 2015 will average 6.6-7.9%, with total semiconductor revenue by 2015 rising to some $397.7 billion. The semiconductor industry has "no control" over the macro-economic forces at work on its growth, said Len Jelinek, director and chief analyst of semiconductor manufacturing research at IHS. The global economy, and in particular key markets like the US and Japan, exerts pressure on the chip industry.

Consumers did help lower semiconductor inventories during the 2011 holiday season, but not enough to trigger re-stocking demand. A deliberate decrease in manufacturing run rates by semiconductor companies in Q3 2011 could not bring inventory down far enough either. Semiconductor demand will remain depressed until Q2 2012.

Because factory utilization will not recover until the middle of 2012, the integrated device manufacturers (IDMs) that design and manufacture semiconductors in-house will experience greater stress with underperforming factories. Capital expenditures for efficiency-increasing tools will likely be pushed to 2013, as long as current manufacturing capacity meets demand.

Also read: Semiconductor fab capex forecast for 2012

Foundries dedicated to manufacturing semiconductors as their main activity will continue to outperform the industry, while IDMs will have lower growth, especially as they have abdicated manufacturing in leading-edge high-margin technology to the foundries. IDMs rish seeing fabless or foundry companies control leading-edge design or production, which could lead to IDM consolidation. This would have the unintended effect of providing rival foundries with even more opportunities for additional growth.

In the memory — mainly dynamic random access memory (DRAM) — sector, revenue will decline 16.1% in 2012, further depressing a sector that fell 26.8% in 2011. NAND Flash, despite strong performance in mobile handsets and media tablets in 2011, will not require another surge in production capacity.

The wireless communication segment, spurred by media tablets, smartphones and industrial electronics, will drive chip revenues. The core PC and peripheral markets must see significant demand increases to boost the semiconductor industry as a whole, IHS believes.

Learn more about this topic with the IHS iSuppli report, Weak Demand Pushes Manufacturing Recovery into Q2 2012 at http://www.isuppli.com/Semiconductor-Value-Chain/Pages/Weak-Demand-Pushed-Manufacturing-Recovery-into-Q2-2012.aspx?PRX

IHS (NYSE: IHS) is the leading source of information and insight in critical areas that shape today’s business landscape, including energy and power; design and supply chain; defense, risk and security; environmental, health and safety (EHS) and sustainability; country and industry forecasting; and commodities, pricing and cost. For more information, visit www.ihs.com.

Subscribe to Solid State Technology

January 27, 2012 — While announcing its Q4 2011 results, Texas Instruments (TI, NASDAQ:TXN) said it would close two older semiconductor manufacturing facilities in Hiji, Japan and Houston, TX over the course of the next 18 months. Production from these sites will be moved to other more advanced TI facilities.

Combined, the Hiji and Houston semiconductor manufacturing factories supported about 4% of TI’s revenue in 2011, and each employs about 500 people.

The total charge for these closures is estimated at about $215 million, of which $112 million was incurred in the fourth quarter and the remainder will occur over the next seven quarters. Annual savings will be about $100 million once the transition is complete. "These sites have made strong, high-quality contributions over the 30-plus years each has operated," said Templeton. "They demonstrate the tremendous cash flow potential associated with analog products, where factory lives are literally measured in decades. However, we’re now at the point where each of these sites requires significant upgrades, and it makes financial sense to shift production to larger, more advanced facilities."

Learn more at http://www.ti.com/.

Subscribe to Solid State Technology

January 27, 2012 — President Barack Obama visited the Intel Ocotillo campus in Chandler, AZ on January 25, as part of a US tour following his State of the Union address. President Obama’s visit in 2011 (Oregon) was the stage for Intel to announce Fab 42 in Arizona, with a $5 billion investment. The President spoke about Intel’s technological innovation, and manufacturing jobs in America.

When complete, Intel’s Fab 42 will be the most advanced high-volume semiconductor manufacturing facility in the world, Intel asserts. Intel is nearing completion of, and will soon be equipping and ramping production at, three new wafer fabs, with Hillsboro, OR and Ireland joining Chandler. The company plans to begin 14nm production in Chandler when that fab opens in 2013. President Obama noted the world’s largest land-based crane, being used in Fab 42 construction, which is capable of pulling up to 4,000 tons.

Photo. President Barack Obama delivering remarks at Intel’s Fab 42 construction site Jan. 25, 2012.

Obama also acknowledged Intel president and CEO Paul Otellini, who serves on the president’s Jobs Council. "I’m here because the factory that’s being built behind me is an example of an America that is within our reach.  An America that attracts the next generation of good manufacturing jobs.  An America where we build stuff and make stuff and sell stuff all over the world," Obama said in a speech at the location.

Photo. Intel Fab 42 Construction Manager Preston McDaniel welcoming President Barack Obama to Intel’s Fab 42 construction site Jan. 25, 2012.

Fab 42 is employing "thousands of construction workers who will put in more than 10 million hours on the job. When this factory is finished, Intel will employ around 1,000 men and women, making the computer chips that power everything from your smart phone to your laptop to your car," the President noted. He added that Intel invests in start-ups and in education of young engineers, stating, "We can use more engineers all across America." (The full transcript is available via the White House website http://www.whitehouse.gov/the-press-office/2012/01/25/remarks-president-intel-ocotillo-campus-chandler-az)

Figure. Artist’s rendering of the $5 billion new chip manufacturing facility and support buildings to be built at Intel’s site in Chandler, Ariz. The new factory, designated Fab 42, will be the most advanced, high-volume semiconductor manufacturing facility in the world. Construction is underway and is expected to be completed in 2013.

Also read: Intel, Samsung, TSMC semiconductor capex in 2012

Subscribe to Solid State Technology

January 26, 2012 — National Institute of Standards and Technology (NIST) materials scientists, Robert Keller and Roy Geiss, have modified a standard scanning electron microscope (SEM) for a roughly 10-fold improvement in measuring the crystal structure of nanoparticles and extremely thin films. It enables crystal structure study of particles as small as 10nm.

Figure. At top, a transmission electron diffraction pattern of a 50nm-diameter indium gallium nitride (InGaN) nanowire, taken with an SEM using the new NIST technique, showing a unique pattern associated with crystal diffraction. Bottom: Same pattern with an overlay showing the crystallographic indexing associated with the atomic structure of the material. SOURCE: Geiss/NIST.

Different crystal phases of a material demonstrate different chemical behavoirs. Understanding the crystalline structure can lead to optimization of thin films in nanoelectronics manufacturing, and other applications in criminal forensics, etc.

In standard SEM-based electron diffraction, the researcher uses an electron back-scatter diffraction (EBSD) detector to analyze patterns formed by electrons bouncing back after striking atoms in the sample. If the sample is a crystalline material, with a regular pattern to the arrangement of atoms, these diffracted electrons form a pattern of lines that reveals the particular crystal structure, or phase and orientation, of the material. "You can determine the crystal structure of an isolated particle down to a size of about 100 to 120nm, but below that the crystals are so small that you’re getting information about the sample holder instead," report the researchers. Transmission electron microscopy (TEM) performs better with samples to about 50nm in size, below which they show very limited diffraction patterns because of the high power of the electron beam.

The two researchers altered the sample position to perform electron diffraction with a SEM in a different way. Keller and Geiss moved the SEM sample holder closer to the beam source and adjusted the angles so that, instead of imaging electrons bouncing back from the sample, the EBSD detector is seeing electrons that scatter forward through the sample in a manner similar to a TEM. A unique sample-holding method contributes to this imaging. This technique produces reliable crystal phase information for nanoparticles as small as 10nm across, as well as for single crystalline grains as small as 15nm in an ultrathin film.

Electron diffraction in an SEM, says Keller, "in general represents the only approach capable of measuring the atomic structure, defect content, or crystallographic phase of single nanoparticles.

Results are scheduled to appear in the Journal of Microscopy, March 2012: R.R. Keller and R.H. Geiss. Transmission EBSD from 10 nm domains in a scanning electron microscope. Journal of Microscopy, 2011. doi: 10.1111/j.1365-2818.2011.03566.x.

Subscribe to Solid State Technology