Category Archives: Metrology

January 26, 2012 — ABB Robotics introduced an ISO 5 (Class 100) Cleanroom version of the IRB 120, its smallest multipurpose 6-axis robot. Any source materials in the IRB 120 prone to particle generation were modified to eliminate contamination potential in the manufacturing area.

The Cleanroom IRB 120 features a special 4-layer paint with varnish clear coat for easy, cloth cleaning; unpainted mounting holes; unpainted stainless steel mechanical stops; and special glue-seals to avoid particle generation. It uses internal cabling. In certain conditions it can meet more stringent, ISO 4 (Class 10) requirements. 

The new model has all the functionality of the standard IRB 120, with motion control and path accuracy in a smaller footprint and profile, weighing 55 lbs. The IRB 120 has a standard payload of 6.6 lbs, a vertical wrist payload of 8.8 lbs, a reach of 580mm, a stroke of 411mm, and the ability to reach 112mm below its base.  The 6-axis robot has a repeatability of pose (RP) of 0.01mm. It can be mounted at any angle.

The Fraunhofer Institute for Manufacturing Engineering and Automation IPA, independent manufacturing research and analysis provider, tested and certified the new model as Cleanroom ISO 5 (Class 100). Cleanroom robots of this rating are suited for material handling and assembly applications in electronics, semiconductor, and solar panel manufacturing, among others.

The Cleanroom IRB 120 is available with the compact version of the IRC5 controller, making it easy to program and control for a variety of tasks. The Compact IRC5 is fully compatible with the standard and panel mount IRC5 controllers, with user friendly FlexPendant programming, RobotStudio offline programming, flexible RAPID language and powerful communication capabilities.

ABB makes power and automation technologies. ABB Robotics supplies industrial robots, robot software, peripheral equipment, modular manufacturing cells and service. Internet: www.abb.com/robotics.

January 26, 2012 – BUSINESS WIRE — Semiconductor substrate maker Soitec joined SEMATECH’s Front End Processes (FEP) and Advanced Metrology Programs, bringing silicon-on-insulator (SOI) wafers and other advanced engineered wafers into the group to work on new processes and technologies enabling high-performance, low-power IC applications. The collaboration will also focus on applying SEMATECH’s metrology expertise towards extending current solutions to advanced transistor designs.

SOI wafers offer improved semiconductor device performance — faster switching, reduced power consumption, lower fab costs — over bulk silicon. Fully depleted SOI (FDSOI) presents advantages in variability control and cost reductions at the 28nm node and below. "We will work together to develop practical and promising high-mobility non-planar and metrology approaches to speed the transition of these new innovations to mainstream semiconductor production," said Raj Jammy, SEMATECH’s vice president of emerging technologies.

As a member of SEMATECH’s Metrology and FEP divisions, located at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, Soitec will collaborate with SEMATECH’s material and metrology experts and leverage SEMATECH’s activities in advanced metrology, materials, process technology, and device characterization to extend CMOS and high-mobility FinFET technologies. SEMATECH and Soitec plan to develop dimensional and films metrology on Soitec’s SOI wafers.

SEMATECH’s FEP program is exploring innovative materials, new transistor structures, and alternative non-volatile memories (NVM) to address key aspects of system-level performance, power, variability, and cost and to help accelerate innovation in the continued scaling of logic and memory applications.

SEMATECH’s Advanced Metrology program serves the measurement needs of high-volume semiconductor manufacturing, driven by joint development projects with tool suppliers and leading universities. The program identifies key gaps in measurement technology for advanced devices and develops solutions to meet the needs of the sub-20nm technology node and beyond.

Soitec manufactures semiconductor materials for energy and electronics applications. For more information, visit www.soitec.com.

SEMATECH is an international consortium of leading semiconductor device, equipment, and materials manufacturers. Information about SEMATECH can be found at www.sematech.org.

Subscribe to Solid State Technology

January 24, 2012 – PRNewswire — Core Wafer Systems, Inc. (CWS), subsidiary of Action Products International Inc. (OTC Pink:APII), upgraded its PDQ-WLR on-wafer accelerated reliability product line to version 7.0. CWS designed v.7.0 specifically for Agilent Technologies’ Parametric Testers.

Version 7.0 offers expanded measurement and reporting capabilities for sophisticated deep-nanometer technology test suites. PDQ-WLR 7.0 provides 50% more measurements than previous versions and addresses issues for deep nanometer technologies such as NBTI or intelligent mobile ion. It is a stand-alone software release (Version 8 works in conjunction with PDQ-WARp).

Also read: New High Voltage Devices Will Change Parametric Test

The PDQ-WLR product is a plug-in for Agilent Technologies’ High Volume Manufacturing Testers (40XX) product line, running in HP-UX or LINUX operating systems. The new routines utilize the advanced features of the Agilent testers and instruments such as the arbitrary waveform generator and parallel stress and measurements for a number of tests. PDQ-WLR 7.0 can be purchased as a drop-in or a separate product, backwards-compatible upgrade for earlier versions of PDQ-WLR running in HP-UX, or those running under CWS’ ASUR SDR.

The new version resulted from customer input; CWS has over 800 active licenses of PDQ-WLR, said Greg Miller, Board of Directors, Action Products and marketing director of Core Wafer Systems, including TSMC, Winbond, Texas Instruments (TI), and Hewlett Packard (HP).

CWS received commitments exceeding $3 million in revenue from upgrades in 2012, and expects to more than double its current orders. CWS is targeting over 300% growth into 2013 between upgrades and new customers.

Action Products International, Inc. (OTC Pink: APII.PK) is the parent company of Core Wafer Systems, Inc., a technology leader with a propriety parallel measurement schema for evaluation of physical phenomena in semiconductor devices and processes. More information is available at http://www.corewafer.com or http://www.actionproductsinternational.com.

Subscribe to Solid State Technology

January 23, 2012 — North America-based manufacturers of semiconductor equipment posted $1.16 billion in orders in December 2011, $1.32 billion in billings, and a book-to-bill ratio of 0.88, according to SEMI’s December Book-to-Bill Report. The book-to-bill ratio has been climbing since September 2011. In December 2011, bookings climbed back above the $1 billion mark.

The three-month average of worldwide bookings in December 2011 was $1.16 billion. The bookings figure is 18.5% above November 2011 and 26.7% below the $1.58 billion in orders posted in December 2010.

The three-month average of worldwide billings in December 2011 was $1.32 billion. The billings figure is 11.8% more than the final November 2011 level and is 25.2% less than the December 2010 billings level of $1.76 billion.

Bookings for semiconductor equipment are increasing, said Dan Tracy, senior director of Industry Research and Statistics at SEMI, adding, "Recent capital spending announcements by leading device manufacturers indicate the potential for continued improvement in 2012." Samsung and Intel both announced ambitious 2012 capex plans this month.

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of US dollars. Source: SEMI January 2012.
  Billings (3-mo. avg) Bookings (3-mo. avg) Book-to-Bill
July 2011  1,521.2 1,298.2 0.85
Aug 2011 1,457.7 1,162.4 0.80
Sept 2011 1,313.5 926.5 0.71
Oct 2011 1,258.3 926.8 0.74
Nov 2011 (final) 1,176.7 977.2 0.83
Dec 2011 (prelim)  1,315.9 1,157.8 0.88

A book-to-bill of 0.88 means that $88 worth of orders were received for every $100 of product billed for the month. The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS). SEMI is a global industry association serving the nano- and micro-electronic manufacturing supply chains. For more information, visit www.semi.org.

Subscribe to Solid State Technology

January 23, 2012 — Intel had a record 2011, and plans high semiconductor capital expeditures in 2012 ($12.5 billion). Samsung plans a record spend in 2012 ($12.2 billion for semiconductor capex). There is, and will be, wide and growing separation between these two companies and their competition, says IC Insights.

For Samsung, Intel (NASDAQ:INTC), and TSMC (NYSE:TSM), the time has come to "put the hammer down" and position themselves as the strongest and most dominant IC suppliers in the industry. Also read: Intel pushes Samsung back for 2011 semiconductor market lead

Both Intel and Samsung will more than double the 2012 capex spending of foundry TSMC ($6.0 billion in 2012 capex). These 3 companies will account for about half of the total semiconductor capex spending in 2012: $30.7 billion between them, nearly 3x as much as they spent collectively in 2009. SEMI predicts semiconductor fab equipment spending will be $35 billion in 2012, according to preliminary data from the SEMI World Fab Forecast report.

In fact, the disparity is getting so large that these three are likely to become completely dominant in their areas of specialization, if they are not already there. Smaller competitors will soon find it extremely challenging (impossible, in many cases) to remain competitive against these powerhouse companies when it comes to developing new products or competing on a cost basis. Weaker suppliers will be forced out of the business and a higher percentage of capex spending will be in the hands of the fewer remaining players.

This isn’t neccessarily a new trend: In 2010, TSMC doubled its capex from 2009, and Samsung tripled their number. In 2011, Intel doubled its capex year-over-year.

Figure 1. Top 3 semiconductor capex spenders 2009-2012F. SOURCE: IC Insights, Company Reports.
2012F rank Company 2009 ($M) 2010 ($M) 10/09 % change 2011 ($M) 11/10 % change 2012F ($M) 12/11 % change
1 Intel 4515   5207 15 10764  107 12500  16
2 Samsung 3518 10948 211   9200  -16 12200  33
3 TSMC 2687   5936 121   7333  24   6000  -18
  Total 10720 22091 106 27297  24 30700  12

Samsung is significantly boosting spending for logic ICs. Approximately $6.5 billion of Samsung’s 2012 capex budget is dedicated to logic ICs. Samsung is Apple’s foundry partner for the A4 and A5 application processors used in iPad tablet computers, iPhones, and iPod touch devices, and doesn’t want to lose this lucrative business. Samsung is also aggressively ramping its in-house application processor business as demand increases for its smartphones, tablet PCs, and other mobile/media related devices. The remaining $5.7 billion of Samsung’s capex budget will be spent on memory IC fab, likely focused on boosting NAND flash memory capacity.

Intel’s capex was $10.8 billion in 2011 and is forecast to be $12.5 billion in 2012, which, put against the context of how much Intel’s business has grown, is justified, says the company. Intel is nearing completion of, and will soon be equipping and ramping production at, three new wafer fabs in Chandler, AZ; Hillsboro, OR; and Ireland. The company plans to begin 14nm production in Chandler when that fab opens in 2013. The new Hillsboro facility will focus on process development using 450mm wafers when it begins operations in 2013. Meanwhile, several fabs will begin 22nm production of x86 processors in the second half of 2012.

Intel too is making a concerted effort to expand its processor presence for smartphones and media devices. Intel’s Ultrabook initiative has piqued consumer interest and is likely to create additional demand for the company’s processors in the second half of 2012. Also read: Intel’s CES keynote: Highlights from Otellini’s talk and Intel Press Briefing and Keynote at CES 2012

A thorough overview and specific details of capital expenditures by company and geographic region is just part of the information included in the 2012 edition of The McClean Report. View http://www.icinsights.com/services/mcclean-report/ for more information.

January 20, 2012 – PRNewswire — KLA-Tencor Corporation (NASDAQ:KLAC) launched 3 semiconductor wafer defect inspection systems: the 2900, Puma 9650, and eS800 series. The product suite is tailored to detect defects arising from new materials, device structures, and design rules in chip manufacturing. The 2900 is a completely new tool; the 9650 is an upgrade to the 9550 and the eS800 is an upgrade to the eS35 (existing tools can be upgraded).

The 2900 Series broadband optical wafer defect inspection platform captures defects on challenging layers and die areas, detecting yield-relevant defects as small as 10nm. The Puma 9650 Series narrowband optical wafer defect inspection system combines reported sensitivity and throughput in multi-layer metrology, including difficult gate etch layers. The eS800 Series e-beam wafer defect inspection platform captures extremely small defects, shallow residues, and defects inside deep narrow structures using high electron beam current density. All of the new systems share a graphical user interface, and all work with KLA-Tencor’s eDR-7000 e-beam wafer defect review system.

Leading-edge semiconductor manufacturers face a metrology challenge, identifying "tiny or subtle defects amidst pattern noise, deep inside a capacitor, or in otherwise difficult environments," said Mike Kirk, Ph.D., group vice president of the Wafer Inspection Group at KLA-Tencor. To address these, KLAC developed "more powerful light sources or electron guns, innovative signal shaping and a multifold approach to reducing noise."

The 2900 Series broadband optical wafer defect inspection platform delivers increased capture of small defects of interest on early process layers and back-end layers, with sensitivity approaching that of e-beam inspection in some cases. Its overall defect capture on after-develop inspection (ADI) layers rivals that of after-etch inspection (AEI) results, KLAC reports. The tool’s improved ADI performance means that the fab can identify killer defects earlier in the process. Features:

  • Second-generation PowerBroadband, a laser-pumped plasma light source that delivers about twice the light of the 2830’s original PowerBroadband source;
  • New optics providing significant resolution improvement at DUV wavelengths and dramatic optical noise reduction;
  • New 2D Directional E-Field, new brightfield and darkfield apertures, and new wavelength bands that can boost defect signal and/or reduce wafer noise;
  • New integrated XP design-aware recipe set-up and defect detection, for improved yield relevance;
  • New image computer, supporting increased throughput and algorithm advancements;
  • Redesigned stage for better defect location accuracy; and
  • New 12-bit dynamic range, enabling increased defect capture in high-contrast areas such as memory transition regions.

The Puma 9650 Series narrowband optical wafer defect inspection systems provide improved defect capture in yield-critical die areas, such as the edges of SRAM arrays, memory transition regions, and page breaks. Upgradeable from the Puma 9550 platform, it provides overall higher sensitivity to particles and pattern defects including bridges, residue and extra pattern on front-end etch layers. Features:

  • Smaller pixel to boost sensitivity to small defects, such as trench residue and extra pattern defects;
  • Innovations in pupil engineering to enhance sensitivity around cell edges;
  • New eFence technology to suppress interference from repeating patterns in page break and transition regions;
    Improvements to pattern suppression technology throughout the die; and
  • Extended dynamic range, to improve system response near array edges and in the periphery.

The eS800 Series e-beam inspection systems feature leading-edge physical and electrical defect capture on a wide range of layers and structures, the most challenging of which include defects inside deep trenches and vias, or at the very edges of DRAM and SRAM arrays. The line offers high enough throughput to scan large areas of the die to find electrical defect signatures such as under-etch, shorts or opens. Features:

  • New electron gun and proprietary optics that allow a higher beam current density in a smaller spot size, enabling higher sensitivity overall, the ability to inspect defects inside high aspect-ratio structures and higher throughput;
  • Wider operating conditions that allow better performance on less conductive layers such as low-k dielectrics, and better capture of subtle residue and under-etch defects;
    TurboScan methodology for inspecting contacts in NAND flash at up to ten times the speed of the eS35; and
  • Ability to capture both physical and electrical (voltage-contrast) defects with critical sensitivity in a single e-beam inspection system, allowing fabs flexibility in work routing and cost-effective use of this high-end inspection system.

The 2900, Puma 9650 and eS800 tools have been shipped to leading foundry, logic, and memory chip manufacturers for use in advanced development and production lines.

KLA-Tencor Corporation provides process control and yield management products including inspection and metrology technologies for the semiconductor, data storage, LED, photovoltaic, and other related nanoelectronics industries. Additional information may be found at www.kla-tencor.com. (KLAC-P)

Subscribe to Solid State Technology

January 18, 2012 — The evening SEMI’s International Semiconductor Strategy Day 2 ended with a banquet celebrating the 35th anniversary of this ISS meeting and honoring Stan Meyers, who retired in November after 15 years as SEMI President and 24 years as a SEMI Board member. Jim Morgan of Applied Materials, Ken Levy of KLA-Tencor and Stan’s successor Denny McGuirk paid tribute to Stan’s contributions and character over the years. Denny also presented the 2011 SEMI Award for North America to QD Vision of Lexington, MA for commercialization of quantum dot technology used in lighting and displays. The award was accepted by CTO and Founder Seth Coe-Sullivan.

Day 3 of ISS 2012 began under brisk clear skies, but with an ominous storm front clearly defined and moving toward land. Soon…

Luc Van Den Hove, CEO of IMEC, opened this final day with his view of innovation in semiconductors and the wide-ranging implications for the ways they will impact our lives even more. Medical care is a hugely impactful area, including the migration from reactive treatment of symptoms to proactive detection of predictive biomarkers. By 2030, the global population over age 65 will exceed 1 billion. Luc recapped the enabling materials innovation that took us from 90nm to 14nm, then ventured to super mobility channels and tunnel FETs that will be components of the road to 7nm. The top 8 fabs representing 76% of 300mm capacity are working with IMEC.

Figure 1. Tunnel FET.

Marianne Wu, Partner at Mohr Davidow, brought in the current VC view of clean tech and how it relates to our industry. The global population is becoming wealthier and living longer, driving energy demand aggressively and making clean tech the 3rd largest VC investment sector today. The cost of PV modules has fallen to the point where the solar power market is sustainable without government subsidies. The semiconductor opportunities are grouped in power electronics and distributed power management; LED lighting; and sensors — the internet of things. Quantum dot enhancement will improve color management in LED lighting, but thermal management remains a gating factor.

Figure 2. Fundamental drivers remain strong.
Figure 3. Global demand growth continues.

Waguih Ishak, Division VP at Corning, talked about the role of glass in enabling the technology advances covered in this meeting. Their invention of low loss optical fiber in 1972 arguably created the internet. Very tough Gorilla Glass is ubiquitous in smart phones and iPads where handling can be rough. Corning’s video on YouTube, “A Day Made of Glass” has over 17M hits and prompted calls for orders of displays shown in the video. These were visionary mockups, not available products, but these calls prompted a product development workshop at Stanford last October.

Figure 3. Surface strength of thin semiconductor-quality wafer glass: Amazingly strong.

Matthew Taylor, CEO of Edwards, espoused the product solutions resulting from vacuum science. While maintaining a semiconductor-centric focus, there is a strong emphasis on diversification to tangentially-related emerging technologies, new general vacuum applications, and environmental abatement. For all of the fuss made over high technology sectors, note that the application shown at the upper right in the figure, representing greatest vacuum intensity, is steel degassing.

Figure 4. Vacuum and abatement applications are proliferating. 

Michael Wright, President of Applied Global Strategies, moderated the Streetviews Panel; panelists included Edwin Mok of Needham, Avinash Kant of DA Davidson and Krish Sankar of Bank of America Merrill Lynch. Nuns in drag did not participate in this year’s discussion. Edwin: capital intensity is declining, but is likely to stabilize as was the case for the HDD industry. Expect a flat line in 2012 and modest growth in 2013. Avinash: he’s expanded his coverage to include advanced materials (ATMI, CCMP, ENTG) and clean tech. The 10 year segment CAGR of 9% is significantly lower than the previous 8 year CAGR of 27%, possibly responsible for the lower multiples these companies are enjoying. Krish: forecasting 2012 cap-ex to be down 15% Y/Y, with capacity expansion returning late in the year. A NAND rebound is anticipated 2H12; DRAM bit growth will come from shrinks, not capacity addition. Michael: we haven’t had a semiconductor equipment IPO in 6 years. Samsung announced a $13.2B cap-ex plan this morning. Q&A: There was no prescient insight provided regarding what analysts look for in evaluating companies in our industry; do everything well. There are a handful of candidate small equipment suppliers on the table for consolidation or aggregation into the larger players.

Read Fury’s reports from ISS:

ISS kicks off with IC industry reality talks

ISS day 2: Cloud computing to drive 450mm, closer collaboration

And chief editor Pete Singer’s report:

ISS: Top Ten Economic Trends in 2012

January 18, 2012 — 36% of semiconductor fabs are in high-risk zones, finds Semico in its Semiconductor Updated Fab Database. Semico notes the industry disruptions caused by the Japan earthquake and tsunami (March 2011) and the flooding in Thailand (Fall 2011) and the challenges these presented to large chip manufacturers in the regions, as well as strains put on the semiconductor and electronics supply chains.

Highlights from Semico:

  • The 36% of fabs that are in the ring of fire contribute 41% of the world’s total semiconductor capacity.
  • From a capacity standpoint, Japan contributes 47.7% of that 41%, Taiwan has another 47.5%, and the US only 4.8%.
  • Only 15% of the fabs are memory fabs, but those fabs supply half of the world’s total memory capacity. 
  • 42% of the world’s total logic capacity is produced in the high-risk area of the ring of fire.

In 2011, another analyst firm, IC Insights, estimated that almost two-thirds of worldwide IC industry capacity was located in seismically active areas, owing to the size of the fabs in the Asia-Pacific. Video: Bill McClean discusses seismic risk for IC manufacturing, supply & demand

The Thai floods will cause disruption into 2012. A resolution of Thailand supply constraints in 1H will be followed by stronger product cycles/easier compares in 2H, said Credit Suisse’s J. Pitzer in a bulletin this week. Near term, the semi space saw "multiple challenges in C4Q11 from the impact of the Thai flooding to reduced demand from Europe and the consequential effect on consumer sentiment," agreed Vijay Rakesh of Sterne Agee.

These changes, also with the economic crisis in Europe, caused a flat growth year in 2011, impacted the status of semiconductor fabs worldwide: capacity, capex, wafer size, closures, launches, production ramps, technology node migration, and employee count.

Semico’s 2011 Fab Database study provides information on changes that occurred in 2011, and what plans are in place for upcoming fab construction and closures in 2012-2013. The report addresses development work occurring with 450mm and 3D production. A special section is devoted to DRAM and NAND fab trends. The report compares the number of fabs used by IDMs versus the number for foundries, and how many are used for major semiconductor categories including logic, memory, analog/discretes, LED and MEMS production.

Semico’s fab database includes 769 entries, covering fabs that are planned, under construction, installing tools, operating, closing, and closed. Fabs that were planned, never built, and then cancelled were excluded from this report.

Semico is a semiconductor marketing & consulting research company. Learn more at www.semico.com.

Subscribe to Solid State Technology

January 17, 2012 – PRLEAP.com — Norcada launched 2 microporous silicon nitride transmission electron microscopy (TEM) sample holders, suiting atomic layer deposition (ALD) analysis, thin film growth, and other applications under TEM, SEM, or STXM tools.

The windows have a mesh structure with 2µm-diameter holes and 3µm distance, and their uniform high-quality silicon nitride film is available in 50nm and 200nm thicknesses.

Norcada micro-porous TEM membrane films a supportive platform for overhanging samples across the 2um holes. A string-shaped material or a micron-sized sample can be placed or grown across the holes, allowing for a no thin film background for the microscopy image.

"The microporous TEM membranes easily withstand manipulation of small particles with a single-hair paintbrush," said Dr. Anna Butterworth from the Space Sciences Laboratory at the University of California, where they have been using the membrane for thin-film measurements in a project involving ALD samples studied with transmission x-ray spectroscopy. "The holey membrane is ideal for nm-resolution work in TEM and STXM."

Norcada microporous TEM membranes are manufactured to fit any commercial TEM sample holder, and are inspected and packaged in a Class 100 (ISO-4-5) Cleanroom.

Norcada is a micro/nano device product development company, with extensive industrial experience and capabilities in MEMS design and fabrication for Silicon Optical Benches (SiOB), sensors, X-ray microscopy windows and TEM Analysis Windows, and other commercial devices. Norcada has a state-of-the-art MEMS design, prototyping, and test facility. Learn more at www.norcada.com.

View recent issues of the MEMS Direct newsletter

January 16, 2012 — With an official registration of 261 attendees, more than double 2011, the 2012 Industry Strategy Symposium (ISS 2012) kicked off today for semiconductor industry professionals at the Ritz-Carlton, Half Moon Bay, CA under blustery skies with choppy seas.

The opening keynote address was delivered by William Holt, Senior VP & GM of Intel’s Technology & Manufacturing Group. For those of us who missed it, last year was the 40th anniversary of the introduction of Intel’s 4004 processor, a 10µm technology with 2,300 transistors built on 50mm wafers. The number of transistors in use has increased 15x in the last five years, and will increase again 15x in the next 5 years. Bill’s view is that traditional scaling arguably ended with 130nm; since then, we have engaged in numerous materials, process and design innovations that have resulted in the necessary improvements in device performance. Some but not all of these have included geometric (i.e. traditional) scaling. For all of the advancement that has taken place over the past 40 years, his conclusion is that we are only at the beginning of opportunity.

Duncan Meldrum, Senior Director at IHS, opened the geo-economic trends session with a quick review of his ISS outlook from two years ago. In short, little has changed. The outlook is bleak, although some improvement is expected following the November 2012 US elections because the level of policy uncertainty will decrease. If we can continue to grow jobs, “there’s a chance we can muddle through.” Business & consumer demand for tech products is still declining, resulting in a weak MSI (millions of square inches) forecast for silicon, shown below.

Figure 1. Semiconductor outlook from IHS Center for Forecasting and Modeling. Demand-driven model-based projection: Weak growth.

The audience attended to his words in depressed silence while the hotel staff quietly removed sharp objects from the tables.

David Townes, Managing Director of Needham, may have pushed some folks over the edge by opening his talk with word that his long term view is that equities have been immersed in a fantasy valuation that is destined to collapse. Since 1995, semi cap equipment companies have increased 32% in value. Adjusted for inflation, they have declined 44%. There have been no sector IPOs since Nextest and Eagle Test in 2006. Only three small companies today are presenting a healthy financial picture: Jordan Valley, Nexx and Intermolecular (though not a semi cap business, Intermolecular represents an innovative business model for R&D). The economic metrics for government liabilities are pointing inescapably to default. The Bureau of Labor Statistics reports an inflation rate of 3% as a result of revised methods for reporting such data. David says the more realistic number is 8%. His outlook for the next ten years for real capital value appreciation is that it is very much at risk. Recommendation: own real things and maintain high liquidity. Don’t assume that cash is safe, because its source may not be sound.

Robert Fry, Senior Economist at DuPont, found a bright spot with an increase in US automobile sales. Much of 2011 growth was below the trend line, and US GDP growth will be just barely positive at only 2% in 2012. The TED Spread (look it up…) fluctuated wildly from 2007 to 2009, then settled down to about 20 basis points, which is a good indicator of market stability. Lately it’s been creeping up to 57 basis points, possibly portending a stealth financial crisis in the making. Economist humor: the underperforming portion of the European economy is referred to as the PIIGS (Portugal, Italy, Ireland, Greece, Spain). Yes, it’s pronounced “pigs.” US feedstock chemicals are largely made from natural gas. Europe and the rest of the world depend more on oil, placing US suppliers at a long term net advantage. The current outlook is for recession in Europe, with slow growth everywhere else — but recession risks remain elevated globally. According to Reinhart and Rogoff (authors mentioned by all three of the speakers on the economy), growth slows significantly when a country’s government debt exceeds 90% of GDP. We are there.

Steve Newberry, Vice Chairman of Lam,  tried to lighten things up by shifting topics to the semiconductor industry itself. Really? Chip fabs are more profitable today than in 2007, but most of that profit resides in the top 5 companies. Among foundries, only TSMC has a healthy cost structure. A viable foundry strategy is to operate in trailing edge technologies (N-1 to N-3 nodes) rather than compete at the leading/bleeding edge. NAND profitability is good among the 4 key suppliers; DRAM is not so healthy, with significant restructuring among suppliers and alliances. The auto industry has been running at ~5% profitability for the past 40 years. That industry pays 65% of the R&D costs in close connection with their suppliers, who pay the balance. This close relationship results in a more efficient use of R&D funds, with less wasted on designs that won’t be used. The learning opportunity for the semiconductor industry is clear. Early indications are that the industry R&D investment model is significantly more robust at the 450mm precipice than it was during the 300mm transition, but there remains a lot of room for improvement.

Figure 2. Foundry profitable: Profile remains the same and problematic. Only one of the foundries continues to sustainably fund capex purely through cash from operations. Technology leader has maintained dominance; other foundries continue to be profit-challenged despite declining depreciation. Source: Lam Research

Bernie Meyerson, IBM’s VP of Innovation and Global University Relations, returned to ISS to define the future of semiconductors. The industry is officially in the end game: for five decades, we have been “turning the small knob” of device shrinkage and it has broken off. Advancement is not about manufacturing; it’s about science fundamentals. A good portion of innovation will come from low dimension carbon structures (graphene, CNT). Less than a week before this presentation, IBM demonstrated a sub-10nm CNTFET with good device parameters. A 40nm epitaxial graphene RF FET showed a cutoff frequency of 280 GHz. Another limiting parameter has become the speed of light: during a single machine cycle, light travels about the length of the last segment of your little finger, making this a rule of pinkie rather than a rule of thumb. Integration of logic, memory and optics is required for successful 3D innovation, in large part to reduce the amount of heat generated by the chip I/O alone. The end game for magnetic storage has been demonstrated with work showing that a 12 atom memory cell is the smallest possible; any fewer, and stability gives way to quantum effects. Racetrack designs using 60nm wires on a 90nm CMOS driver were demonstrated as manufacturable only last month at IEDM 2011. This uses largely conventional technology to improve storage density significantly. It’s no accident that Bernie’s job includes university relations; innovations come from innovators, not from corporations, even if corporations are people. Innovators come from cross-disciplinary university programs focused on science fundamentals that lead to industry relevant breakthroughs.

Figure 3. 3D integration of logic, memory, and optics. 3D integration allows restructuring of the compute node to leverage dense memory and dramatically increase memory bandwidth. This produces significant performance improvements with necessary software co-evolution/adaptation. SOURCE: IBM.

Bill McLean of IC Insights talked about the IC industry outlook in the aforementioned uncertain economy. The semiconductor business grew ~2% in 2011. However, if you take out DRAM, the remaining 90% of the industry grew 6%. The “new normal” for capital equipment spending as a percent of semi sales is 15%, down from 19%. The top 10 fabs control 84% of the 300mm market capacity. China represents the last group of newcomers to the chip manufacturing business, resulting in a closed loop system for suppliers seeking new entry points.

Figure 4. Semiconductor capital spending as a percent of semiconductor sales. SOURCE: IC Insights.

Prof. S. Massoud Amin, Director of the Technological Leadership Institute at the University of Minnesota, switched gears with a discussion of opportunities in smart grids. The North American power grid is the largest single machine on the planet. It comprises over 450,000 miles of 100 KV or higher transmission lines. The efficiency of delivering power from a coal-fired plant to a home light bulb is 1.6%. A single Tweet takes only 0.025 watt-hour of energy, but since there are a billion tweets per week, the consumption is 2,500 MWh, the total output of two nuclear power plants. The control demands for a stable grid require time management for events over 10 orders of magnitude. Large opportunities for semiconductors are found in the transceiver chip set in electric cars, building energy management systems, and other elements of smart grid implementation. Global expenditure for smart grids is expected to run $17B-24B/year for the next 20 years, with a net benefit to the US economy of $2.3T.

Figure 5a. 10-years long-term market forecast for SiC devices in various power applications (Sensors on silicon, MCUs in everything, heat-tolerant semiconductors, power management, solar, energy harvesting).
Figure 5b. World market for semiconductors in electric vehicle (EV) powertrains.

Jim Koonmen, SVP & GM of Brion at ASML, brought us closer to home with the industrialization of new lithographic technologies. From a litho perspective, the “small knob” is not broken; device shrink is still a driver. Single exposure EUV will extend below 20nm, with double exposure EUV necessary to get to 8nm. Rule-based SRAF placement in computational lithography is giving way to model-based SRAFs. Six NXE:3100 EUV tools have been shipped, four to development groups in production fabs. IMEC has successfully demonstrated 16nm lines/spaces. Dedicated chuck overlay has been certified at <1nm; 1nm is about 4 silicon atoms. The NXE:3300B will ship by YE12 with a throughput of 69wph, N.A. 0.33 and 3/5nm overlay DCO/MMO. Each scanner is built in its own dedicated cleanroom; ASML is planning on 23 of these. Optical source power is presently at 10W and is projected to achieve 20W this year. The production need is for 125-250W. The transition to 450mm needs to take place across all litho platforms concurrently in order to support fabrication capability at the larger wafer size.

Figure 6. Two options for shrink: Immersion and EUV lithography. SOURCE: ASML.

Shawn DuBravac, Chief Economist and Director of Research at CEA, reported on the January 2012 CES in Las Vegas. New notebooks are touting battery life, usability and design features rather than traditional metrics such as processor speed and storage capacity. Quad-core smart phones have appeared. Interconnectivity rather than compute power will be the next big drive in computing devices. TV remotes now include MEMS and audio computation for gesture and voice control. Many innovation concepts began as gaming elements for market introduction; this provided for technology debug while creating a market appetite for more serious applications as consumers became more comfortable with them. Motion gesturing and remote sensing are examples. MEMS and other sensor technology has reached a price point at which it can be widely integrated into a variety of devices at many price points. Best anecdote: a smart phone app has been developed that monitors your pulse rate and blood pressure. You can then scan your smart phone over your Outlook calendar to ascertain which meetings cause you the greatest stress.

Michael A. Fury, Ph.D, is senior technology analyst at Techcet Group, LLC, P.O. Box 29, Del Mar, CA 92014; e-mail [email protected].

Subscribe to Solid State Technology