Category Archives: Metrology

By Phil LoPiccolo, Editor-in-Chief, Solid State Technology

In the new consumer-driven electronics industry, where beating your competition to market with innovative technology is the surest route to success, process control equipment suppliers have an expanded role in manufacturing alliances to help dramatically shorten product-development and production-ramp times, and thus significantly improve yield and profitability, according to Brian Trafas, chief marketing officer at KLA-Tencor, in his talk at the Confab in Las Vegas.

Speaking at The ConFab yesterday from the perspective of an equipment supplier managing customer alliances, Trafas explained that while developing high-performance devices at high yield is still a critical requirement for profitability, so is reducing time to market. If you get to market early, you can demand a higher average selling price (ASP), he stressed — but if you’re late, ASPs can plummet below profitable levels.

Trafas outlined the hurdles that hinder efforts to reduce time to market as chipmakers attempt to continue improving price-performance ratios at the speed of Moore’s Law. One obstacle is that the number of new materials used in semiconductor manufacturing will jump from just over 20 to nearly 40 during this decade, roughly triple the rate of increase over previous decades (see Fig.1) To fabricate leading-edge memory or logic devices will require the integration of a host of new materials, he said. And each may require process changes that have the potential to introduce new metrology and defect control challenges, not only during process development when these issues are identified and characterized, but also during volume production, when the process must be monitored closely for yield and reliability excursions.

Another challenge is that to achieve continuing performance improvements, chipmakers must increasingly focus on innovation versus device scaling. Whereas at the 130nm node the vast majority of performance increases were the result of scaling rather than performance increases, at the 90nm and 65nm nodes innovation accounted for ~58% and 64% of performance increases, respectively (see Fig. 1). Clear examples of the impact of innovation in the logic community, Trafas explained, include the impact that strain engineering and the move from 2D to 3D architectures have had in terms of improving system performance.

Going forward, we need to improve the ability to capture defects on new material types as well as to detect both random and systematic defects, Trafas said. But an overarching issue is to improve yield, particularly early in the cycle. It all comes back to the time-to-market issue, and how profitability is determined by how quickly a fab can develop a process with production-level yield, he said, adding that this is the biggest knob to turn to improve profitability.

As a process control equipment supplier, KLA-Tencor has worked in alliances encompassing both chipmakers and process tool suppliers, focusing on collaboration to help improve the fab’s die-yield in a shorter timeframe, Trafas said. In fact, KLA-Tencor has shifted its emphasis in the last four or five years to starting collaborations much earlier than before, he said.

In one such collaboration, KLA-Tencor worked with IBM, Chartered, and several process tool suppliers to help IBM transfer the chipset for the Xbox game console from its “mother fab” in Fishkill, NY, to Chartered’s Fab 7 foundry in Singapore, Trafas explained in an interview. “We identified yield issues at critical points in the line, helped the customers fix those issues, and put in monitoring steps that allowed the customers to quickly fix any yield excursions that might arise,” he said. Ensuring that an effective inspection and metrology strategy was in place, that recipes and tools were matched, and that best known methods were documented was essential in helping IBM and Chartered achieve a parallel-ramp transfer of the 90nm technology within a tight, inflexible time window, he claimed.

The economic benefits of early collaboration can be significant. Trafas showed that by collaborating in a recent alliance with another logic customer, the partners were able to reduce the development time required to achieve 20% yield from 12 months to nine months, which resulted in a savings of $150 million. They were then able to ramp to 20%-50% yield two months faster than expected, for a savings of $200 million. And they reached production at 50%-80% yield nine months sooner than planned, for an additional $120 million in savings, plus a 10% higher overall yield (see Fig. 2).

Clearly, the industry is moving forward through alliances, both in R&D and manufacturing, Trafas noted, and the best strategy for making successful supplier/manufacturer partnerships entails coming together early in the process to define the challenges the customer is trying to solve, getting high-level sponsorship from both sets of management, developing projects with measurable goals, and creating an environment that supports open, collaborative teams working together.

“In the end, we have to have a win-win outcome,” Trafas said. “By understanding what the customer is trying to achieve, we can commit to meeting a mutual goal. This goes beyond just delivering equipment and then moving on.” — P.L.

by Debra Vogler, Senior Technical Editor

Some industry observers figure that the industry will probably have only a handful of major players in the form of IDMs, alliances, top foundries, and some fab-lite companies. Tokyo Electron America’s president, Harvey Frye, on the other hand, thinks there is probably room for at least 10 companies globally that could remain IDMs in some form, and listed likely candidates and working strategies in a Monday morning panel discussion at the ConFab.

Frye noted that an example of one IDM strategy is the collaboration at Elpida, which has invested heavily in DRAM but still has a relationship with Powerchip. The partners have to work out control of processes, and who benefits from successful products, he said. His list of likely global IDM survivors also includes companies such as Samsung or Intel, and he expects there will be IDMs and/or foundries in emerging markets such as China where government policy is aimed at control and presence with a front-end capability that is country-centric (he cited Intel’s announcement earlier this year of a 300mm fab in China as a case in point). Another strategy would be to have two partners invest jointly in a fab, and work with one another, albeit with one partner taking the lead and the other being more passive.

Many chipmakers have chosen a bifurcated strategy in which they do some manufacturing internally, either with current capacity or a combination of using current capacity in a joint venture, Frye said. He pointed out, however, that joint ventures don’t always result in long-term, lasting relationships, so there has been a trend for chipmakers to move to a heavier foundry strategy for newer and more expensive investments. TI is a very good example of this trend, and many of the mid- to larger-size companies will tend to move that way over time, he said.

One issue in partnerships is intellectual property (IP), stressed Frye. Some IP is offered to the collaborators without complex legal restrictions to speed development, but other IP is used as a differentiator. In this case, Frye said, the legal issues must be clear to all collaborators to avoid disputes.

Another trend Frye expects going forward, especially with the increase in the number of new materials needed at advanced nodes, is that equipment suppliers will continue to expand how they work with complementary companies. These partners can include materials suppliers, consortia, or other alliance partners and chipmakers. Equipment suppliers will also have to work with providers of robotics, material moving systems, software, and metrology, and those that integrate metrology into capital equipment, Frye noted. — D.V.

May 16, 2007 – NexTech Solutions and FAS Technologies LLC, both based in Texas, have agreed to merge into a single legal entity. NexTech brings to the merger automated substrate calibration, inspection, and handling products. FAS, meanwhile, claims to hold 40 patents related to its “extrusion coating” technology, an alternative to spin-coating for liquid polymer films applied to flat-panel glass substrates as well as silicon wafers.

“The addition of FAS’s expertise, patented products and market-proven technologies will enable NexTech to expand its global customer base, address large, fast-growing markets and offer more productive processing solutions for current and next-generation products,” said NexTech president and CEO Tony DiNapoli, in a statement.

Ted Snodgrass, president/CEO of FAS, noted various synergies between the two companies, which already serve the same markets and will combine product development efforts.

May 15, 2007 — International SEMATECH, the consortium of nanoelectronics manufacturers, has announced a major expansion of its existing research and development program at the Center of Excellence in Nanoelectronics and Nanotechnology at the University at Albany (UAlbany) in New York. The expansion is the largest in the history of the consortium, and the seven-year agreement will significantly expand the consortium’s New York operations.

As part of the partnership with UAlbany’s College of Nanoscale Science and Engineering (CNSE), International SEMATECH has agreed to locate its headquarters in Albany, increase its workforce by 450 jobs over three years, and provide $25 million to fund research at colleges and universities at five centers around the state. To facilitate this expansion, legislative leaders agreed to introduce and pass shortly a bill providing $300 million in funding which will be made available over five years to help SEMATECH purchase advanced semiconductor process equipment.

SEMATECH has made a financial commitment of $300 million in cash and in-kind contributions. The project will support new research at the Nanotech Center in Albany as well as serve as an additional resource supporting existing operations of SEMATECH members in the Hudson Valley and the Capital Region.

New Yorl’s funding, introduced by the legislature, will be used to both build state-of-the-art infrastructure and acquire advanced semiconductor tooling. In addition, $25 million of the funds will be devoted to research at colleges and universities at five centers around the state, including the Nanoscale Metrology and Imaging Center in Albany.

In 2002, International SEMATECH (Semiconductor Manufacturing Technology) brought global attention to the strength of New York State’s academic research and development facilities for semiconductor technology when it chose Albany — over competing bids from sites in the U.S., Europe and the Pacific Rim — as the site for its first center outside of Austin, TX. Since that time, SEMATECH North has become a leader in the development and commercialization of leading-edge nanolithography technology.

In addition, SEMATECH North provided a critical mass of nanotechnology intellectual assets and state-of-the-art infrastructure at UAlbany that allowed for the creation of the College of Nanoscale Science and Engineering and its rapid rise to a global resource for research and education in nanoscience and nanoengineering.

Microsoft is charged with developing the software, which will allow users to bring personal computing settings, applications, and data on a USB flash drive or flash memory card. SanDisk will develop the hardware capabilities, based on its U3 smart technology. SanDisk plans to incorporate TrustedFlash security features and other encryption technology. SanDisk will produce the jointly developed solution on removable flash cards and Cruzer USB drives starting in the second half of 2008. The companies plan to offer migration paths to modify existing U3 applications.

(May 14, 2007) FREMONT and SAN JOSE, CA &#151 The German Federal Cartel Office (FCO) approved KLA-Tencor’s bid to purchase Therma-Wave shares, completing the regulatory approval process for the metrology equipment company’s acquisition.

May 10, 1007 – International SEMATECH is moving its headquarters from Austin, TX, to its operations located at the U. of Albany, and will launch a significant expansion there, matching $300 million in state investments for facility upgrades.

New York Gov. Eliot Spitzer and other state leaders unveiled details of the plan: A five-year, $300 million commitment from the state to provide new facilities and infrastructure, with matching funds from SEMATECH and another $100 million from SEMATECH members. Also part of the plan, SEMATECH promises to add 450 workers to Albany NanoTech over three years and kick in $25 million in funding spread across five other collegiate R&D centers throughout the state.

An expansion currently underway at Albany NanoTech, slated to be finished by the end of 2008, will increase the size of CNSE’s Albany NanoTech complex by two-thirds to >750,000 sq ft, with a 38% increase in Class 1 cleanroom space to 80,000 sq ft.

“We believe that the establishment of our International SEMATECH headquarters and operations in New York significantly strengthens our competitiveness and ability to provide our member companies with production-worthy technological innovations that meet the requirements of their technical and business roadmaps,” stated Michael Polcari, International SEMATECH president and CEO, in the PR from the state.

New York Senate Majority Leader Joseph Bruno noted that SEMATECH’s expansion will mean not only several hundred new positions at Albany NanoTech, but “a lot of businesses follow,” pointing out that roughly 120,000 positions clustered around SEMATECH in Austin.

In a separate press release after the New York announcement, SEMATECH downplayed the news a bit, noting that the move essentially upgrades its New York presence to an “anchor partner” with the Albany NanoTech complex, to be filled with 700 researchers and engineers.

Essentially, SEMATECH’s organization will be divided into two geographic locations, focusing on different areas, according to the consortium. Work at Albany’s facilities will focus on advanced lithography, 3D interconnect, metrology, and other advanced technologies, while SEMATECH’s Austin site will work on advanced materials, plus efforts from SEMATECH’s subsidiaries: International SEMATECH Manufacturing Initiative (ISMI), Advanced Materials Research Center (AMRC), and Advanced Technology Development Facility (ATDF).

The Albany Times Union noted that Gov. Spitzer requested a $300 million addition to the budget back in January for “an unspecified computer chip R&D center” but funding wasn’t supported at the time; SEMATECH had been the rumored recipient of the funding.

May 2, 2007 — SUSS MicroTec has introduced the DSM200, an automated metrology system for double-sided (front-to-back) alignment and exposure applications.

The cassette-cassette system enables verification of alignment accuracy on wafers from 2 inches to 200mm. Incorporating new pattern-recognition technology, it promises measurement accuracy of 0.2 microns at 3 sigma with minimial operator intervention.

Based on SUSS’s MA200Compact Mask Aligner, the DSM200 targets the manufacture of MEMS devices, power semiconductors, and optoelectronics.

SUSS’s DSM200 double-sided metrology system. (Photo: Business Wire)

April 25, 2007 – Just a month after its CEO left the company, Nanometrics says CFO Dave McCutcheon has followed him out the door “to pursue other opportunities,” the company said in a statement. Quentin Wright, currently chief accounting officer, will take over as interim CFO until a permanent successor is found.

McCutcheon was hired as Nanometrics’ CFO in September 2005, coming from Metron Technology NV, where he oversaw that business’ sale to Applied Materials in mid-2004. Before that he held executive positions at Asyst Technologies and Cadence.

The change comes just a month after former CEO John Heaton mysteriously left the company (no details were provided about his departure), with chief strategy officer Bruce Rhine, chairman/CEO of Accent Optical (which Nanometrics bought the prior year) taking the reins on an interim basis.

Change has also hit the company’s infrastructure in recent weeks. In March Nanometrics announced it will consolidate all of the company’s overlay metrology production at a facility in Korea, where Nanometrics already makes its Orion and Caliper systems, a move that will result in the closure of Soluris’ Concord, MA facility.

Apr. 26, 2007 — ClassOne Equipment, Inc. has opened a new facility, significantly expanding the company’s ability to supply refurbished semiconductor and nanotechnology equipment.

The new 40,000 square foot facility, located 15 miles from the Hartsfield Airport near Atlanta, GA, effectively quadruples the space of the former facility. The layout features 10,000 square feet of lab space, for refurbishing, showcasing and demonstrating equipment. There, customers are able to “test-drive” equipment prior to purchasing, although ClassOne says this is typically not necessary because it sells most equipment with a 3-month warranty, a 30-day right of return, and a full satisfaction guarantee.

ClassOne Equipment president Byron Exarcos commented, “When we opened our former 10,000 sq. ft. facility in April 2005, we believed we had enough room to expand for the next five years.” Thanks to unforeseen growth in demand, the company found it necessary to expand again.

ClassOne offers a range of wafer process and metrology equipment including wet benches, mask aligners, photoresist coat and develop systems, etchers, polishers, dicing saws, and microscopes.

Apr. 11, 2007 — Bristol, England-based Metryx, Limited, a semiconductor equipment manufacturer specializing in nanotechnology mass measurement techniques, says it has hired two new directors of business development to help the company meet a growing demand for mass metrology.

Mark Berry has been appointed North America and Europe Business Director while Gary Ditmer has joined as Asia Business Director in a move to support the company’s increasing global business development activities. In addition, Liam Cunnane, previously North American Director of Technology, has been promoted to Worldwide Technology Director.

“Both Mark and Gary represent a significant win for us. As we grow, both of their roles will be critical to the company, helping to increase our installed base and concurrently develop our service capability on a global level,” said Metryx CEO Adrian Kiermasz.

Berry comes to Metryx from Veeco where he held positions including Vice President of Sales for Veeco’s compound semiconductor division. Prior to that, he held sales and market development positions with Oxford Instruments Plasma Technology.

Ditmer joined the company in January 2007. Based in Japan, he has extensive business experience in the Far East and has held key sales positions with Oxford Instruments Plasma Technology and Applied Materials.