Category Archives: Metrology

By Jamie Girard, Sr. Director, Public Policy, SEMI

Just as the annual Cherry Blossom festival wraps up, international trade has flowered as a top concern for SEMI members, requiring immediate action as 20 SEMI member executives carried the torch for the industry in recent meetings with lawmakers at the annual SEMI Washington Forum. The business leaders quickly zeroed in on the proposed Sec. 301 tariffs of 25 percent on China imports to the U.S. and their potential to drive sharp increases in the cost of doing business.

In the meetings at the two-day event in Washington, D.C., the executives expressed deep concern that the tariffs, aimed at protecting the interests of U.S. companies, would instead harm the intended beneficiaries including SEMI members around the globe. The executives also focused on the proposed 232 tariffs on steel and aluminum that would compound the damage to their businesses, spiking costs of materials that lie at the heart of their manufacturing operations.

Also crucial to their business interests, the SEMI members educated lawmakers on the talent shortage and the intense competition to fill open positions across the supply chain. With fully 77 percent of industry executives seeing talent shortfalls as a pressing business issue, the business leaders pushed for legislation that would bring more domestic talent into the STEM education pipeline – such as S. 1518, The CHANCE in Tech Act to support more apprenticeships in technology, and H.R. 4023, the Developing Tomorrow’s Engineering and Technical Workforce Act to get more students involved in engineering. The group also encouraged support of the “Immigration Innovation” or “I-Squared” bill to strengthen and expand the H1-B visa program and STEM Greencards.

The SEMI Washington Forum, a venue for SEMI members to educate lawmakers about the industry, also addressed concerns over restrictions on foreign investment in the U.S. Passage of S. 2098, the Foreign Investment Risk Review Modernization Act (FIRRMA), would usher in new operating efficiencies for the Committee for Foreign Investment in the United States (CFIUS) by adding much-needed resources to the overburdened body. However, the bill would also subject many ordinary business transactions to a lengthy and costly national security review that would hamper the ability of many companies to do business in the global marketplace.

All told, attendees at the forum held more than 30 meetings with lawmakers, reflecting the great impact of public policy on SEMI members companies. In a time when the stakes for the industry have risen to new levels, direct engagement with lawmakers in the nation’s capital by SEMI and its members is critical. The SEMI Washington Forum is a terrific way for members to more clearly understand the impact of key pieces of legislation and gain firsthand experience in influencing policy and helping lawmakers better understand the industry. If you are interested in learning more about the SEMI Washington Forum or SEMI’s public policy program, please contact Jamie Girard by email at [email protected].

Research included in the recently released 50-page April Update to the 2018 edition of IC Insights’ McClean Report shows that in 2017, the top eight major foundry leaders (i.e., sales of ≥$1.0 billion) held 88% of the $62.3 billion worldwide foundry market (Figure 1).  The 2017 share was the same level as in 2016 and one point higher than the share the top eight foundries represented in 2015.  With the barriers to entry (e.g., fab costs, access to leading edge technology, etc.) into the foundry business being so high and rising, IC Insights expects this “major” marketshare figure to remain at or near this elevated level in the future.

TSMC, by far, was the leader with $32.2 billion in sales last year.  In fact, TSMC’s 2017 sales were over 5x that of second-ranked GlobalFoundries and more than 10x the sales of the fifth-ranked foundry SMIC.

Figure 1

Figure 1

China-based Huahong Group, which includes Huahong Grace and Shanghai Huali, displayed the highest growth rate of the major foundries last year with an 18% jump.  Overall, 2017 was a good year for many of the major foundries with four of the eight registering double-digit sales increases.

Of the eight major foundries, six of them are headquartered in the Asia-Pacific region. As shown, Samsung was the only IDM foundry in the ranking.  IBM, a former major IDM foundry, was acquired by GlobalFoundries in mid-2015 while IDM foundries Fujitsu and Intel fell short of the $1.0 billion sales threshold last year. Although growing only 4% last year, Samsung easily remained the largest IDM foundry in 2017, with over 5x the foundry sales of Fujitsu, the second-largest IDM foundry.

North America-based manufacturers of semiconductor equipment posted $2.42 billion in billings worldwide in March 2018 (three-month average basis), according to the March Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI. The billings figure is 0.4 percent higher than the final February 2018 level of $2.41 billion, and is 16.7 percent higher than the March 2017 billings level of $2.08 billion.

“March 2018 monthly billings for North American equipment manufacturers remain at robust levels,” said Ajit Manocha, president and CEO of SEMI. “We are seeing sustained strength in the global semiconductor equipment market, aligning with our expectation for a fourth consecutive year of spending growth.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)
Year-Over-Year
October 2017
$2,019.3
23.9%
November 2017
$2,052.3
27.2%
December 2017
$2,398.4
28.3%
January 2018
$2,370.1
27.5%
February 2018 (final)
$2,417.8
22.5%
March 2018 (prelim)
$2,426.9
16.7%

Source: SEMI (www.semi.org), April 2018
SEMI publishes a monthly North American Billings report and issues the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ).

Driven by strong growth in the memory market, worldwide semiconductor revenue totaled $420.4 billion in 2017, a 21.6 percent increase from 2016 revenue of $345.9 billion, according to final results by Gartner, Inc.

“2017 saw two semiconductor industry milestones — revenue surpassed $400 billion, and Intel, the No. 1 vendor for the last 25 years, was pushed into second place by Samsung Electronics,” said George Brocklehurst, research director at Gartner. “Both milestones happened due to rapid growth in the memory market as undersupply drove pricing for DRAM and NAND flash higher.”

The memory market surged nearly $50 billion to reach $130 billion in 2017, a 61.8 percent increase from 2016. Samsung’s memory revenue alone increased nearly $20 billion in 2017, moving the company into the top spot in 2017 (see Table 1). However, Gartner predicts that the company’s lead will be short-lived and will disappear when the memory market goes into its bust cycle, most likely in late 2019.

Table 1. Top 10 Semiconductor Vendors by Revenue, Worldwide, 2017 (Millions of U.S. Dollars)

2017 Rank

2016 Rank

Vendor

2017 Revenue

2017 Market

Share (%)

2016 Revenue

2016-2017 Growth (%)

1

2

Samsung Electronics

59,875

14.2

40,104

49.3

2

1

Intel

58,725

14.0

54,091

8.6

3

4

SK hynix

26,370

6.3

14,681

79.6

4

5

Micron Technology

22,895

5.4

13,381

71.1

5

3

Qualcomm

16,099

3.8

15,415

4.4

6

6

Broadcom

15,405

3.7

13,223

16.4

7

7

Texas Instruments

13,506

3.2

11,899

13.5

8

8

Toshiba

12,408

3.0

9,918

25.1

9

17

Western Digital

9,159

2.2

4,170

119.6

10

9

NXP

8,750

2.1

9,314

-6.1

Others

177,201

42.2

159,655

11.0

Total Market

420,393

100.0

345,851

21.6

Source: Gartner (April 2018) 

The booming memory segment overshadowed strong growth in other categories in 2017. Nonmemory semiconductors grew $24.8 billion to reach $290 billion, representing a growth rate of 9.3 percent. Many of the broadline suppliers in the top 25 semiconductor vendors, including Texas Instruments, STMicroelectronics and Infineon, experience high growth as two key markets, industrial and automotive, continued double-digit growth, buoyed by broad-based growth across most other end markets.

The combined revenue of the top 10 semiconductor vendors increased by 30.6 percent during 2017 and accounted for 58 percent of the total market, outperforming the rest of the market, which saw a milder 11.0 percent revenue increase.

M&As are taking longer

2017 was a slower year for closing mergers and acquisitions (M&As), with roughly half the deal value and number of deals compared with 2016. However, the semiconductor industry continues to see escalating deal sizes with greater complexity, which are becoming more challenging to close. Avago set a record in its acquisition of Broadcom for $37 billion in 2016, and this record should soon be broken by Qualcomm’s acquisition of NXP Semiconductors for $44 billion.

The IoT is starting to pay vendor dividends

Growth in the Internet of Things (IoT) is having a significant impact on the semiconductor market, with application-specific standard products (ASSPs) for consumer applications up by 14.3 percent and industrial ASSPs rising by 19.1 percent in 2017. Semiconductors for wireless connectivity showed the highest growth with 19.3 percent in 2017, and topping $10 billion for the first time, despite reduced component prices and the static smartphone industry.

More detailed analysis is available to Gartner clients in the report “Market Share Analysis: Semiconductors, Worldwide, 2017.”

The next generation of energy-efficient power electronics, high-frequency communication systems, and solid-state lighting rely on materials known as wide bandgap semiconductors. Circuits based on these materials can operate at much higher power densities and with lower power losses than silicon-based circuits. These materials have enabled a revolution in LED lighting, which led to the 2014 Nobel Prize in physics.

In new experiments reported in Applied Physics Letters, from AIP Publishing, researchers have shown that a wide-bandgap semiconductor called gallium oxide (Ga2O3) can be engineered into nanometer-scale structures that allow electrons to move much faster within the crystal structure. With electrons that move with such ease, Ga2O3 could be a promising material for applications such as high-frequency communication systems and energy-efficient power electronics.

Schematic stack and the scanning electron microscopic image of the β-(AlxGa1-x)2O3/Ga2O3 modulation-doped field effect transistor. Credit: Choong Hee Lee and Yuewei Zhang

Schematic stack and the scanning electron microscopic image of the β-(AlxGa1-x)2O3/Ga2O3 modulation-doped field effect transistor. Credit: Choong Hee Lee and Yuewei Zhang

“Gallium oxide has the potential to enable transistors that would surpass current technology,” said Siddharth Rajan of Ohio State University, who led the research.

Because Ga2O3 has one of the largest bandgaps (the energy needed to excite an electron so that it’s conductive) of the wide bandgap materials being developed as alternatives to silicon, it’s especially useful for high-power and high-frequency devices. It’s also unique among wide bandgap semiconductors in that it can be produced directly from its molten form, which enables large-scale manufacturing of high-quality crystals.

For use in electronic devices, the electrons in the material must be able to move easily under an electric field, a property called high electron mobility. “That’s a key parameter for any device,” Rajan said. Normally, to populate a semiconductor with electrons, the material is doped with other elements. The problem, however, is that the dopants also scatter electrons, limiting the electron mobility of the material.

To solve this problem, the researchers used a technique known as modulation doping. The approach was first developed in 1979 by Takashi Mimura to create a gallium arsenide high-electron mobility transistor, which won the Kyoto Prize in 2017. While it is now a commonly used technique to achieve high mobility, its application to Ga2O3 is something new.

In their work, the researchers created a so-called semiconductor heterostructure, creating an atomically perfect interface between Ga2O3 and its alloy with aluminum, aluminum gallium oxide — two semiconductors with the same crystal structure but different energy gaps. A few nanometers away from the interface, embedded inside the aluminum gallium oxide, is a sheet of electron-donating impurities only a few atoms thick. The donated electrons transfer into the Ga2O3, forming a 2-D electron gas. But because the electrons are now also separated from the dopants (hence the term modulation doping) in the aluminum gallium oxide by a few nanometers, they scatter much less and remain highly mobile.

Using this technique, the researchers reached record mobilities. The researchers were also able to observe Shubnikov-de Haas oscillations, a quantum phenomenon in which increasing the strength of an external magnetic field causes the resistance of the material to oscillate. These oscillations confirm formation of the high mobility 2-D electron gas and allow the researchers to measure critical material properties.

Rajan explained that such modulation-doped structures could lead to a new class of quantum structures and electronics that harnesses the potential of Ga2O3.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, this week presented its Congressional Leadership Awards to Senator Mike Crapo (R-Idaho) and Congressman Peter Roskam (R-Ill.) for their leadership in enacting tax reform legislation, the Tax Cuts and Jobs Act of 2017. The Semiconductor Industry Association believes the corporate provisions included in the new law will strengthen the U.S. semiconductor industry by making it easier for semiconductor companies to continue to grow and innovate in the United States.

“We applaud Senator Crapo and Congressman Roskam for their steadfast support of policies that strengthen the semiconductor industry, the tech sector, and the U.S. economy,” said John Neuffer, SIA President & CEO. “We especially appreciate the award winners’ resolute leadership in advancing critical corporate tax reform legislation that will help sustain U.S. leadership in semiconductor research, design, and manufacturing. The new law has helped modernize the corporate tax code and improve the competitiveness of the U.S. semiconductor industry.”

“Semiconductors are foundational to America’s economic strength, national security, and technology leadership,” Neuffer said. “Corporate tax reform was urgently needed to help the industry take the next innovative steps forward and promote America’s global competitiveness. We salute Senator Crapo and Congressman Roskam for their instrumental work in helping to push the final bill across the goal line.”

SIA presented the Congressional Leadership Award in recognition of efforts to support policies that are vital to sustaining a strong and vibrant U.S. semiconductor industry.

Boston Semi Equipment (BSE), a semiconductor test handler manufacturer and provider of test automation technical services, today announced it is a recipient of the 2017 Texas Instruments Supplier Excellence Award (SEA).  The SEA is TI’s highest level of supplier recognition.  Boston Semi Equipment is among an elite group of suppliers chosen by TI for their exemplary performance in the areas of Cost, Environmental & Social Responsibility, Technology, Responsiveness, Assurance of Supply, and Quality.

“The TI Supplier Excellence Award is public recognition of the focus and effort that Boston Semi Equipment commits to continually improving the performance of our company and the solutions we provide our customers.  We appreciate the opportunity to provide products and services to Texas Instruments, and it is an honor to be recognized by TI as an excellent supplier,” stated Colin P Scholefield, President.  “I am proud of the performance of the Boston Semi Equipment team.”

Getting better by design


April 18, 2018

By Ajit Manocha, President and CEO of SEMI

Mantra by Design

SEMI’s mantra is: Connect, Collaborate, Innovate. This mantra has delivered industry-enabling value to our members since SEMI’s beginnings in 1970. It has been essential for SEMI members to grow and prosper locally, while being synchronized globally. As the electronics manufacturing business has become more complex and interdependent, SEMI’s mantra has increasingly been applied across the full span of electronics manufacturing.

With the IC industry now worth over $400 billion in annual revenue, developing a single new chip can cost hundreds of millions of dollars. Consequently, industry players now connect, collaborate, and innovate in new, but more often, deeper ways. This is especially true with IC design – what’s possible in chip design is only possible if the manufacturing processes can be developed as projected. It makes sense, as complexity grows and the stakes get higher, that design and manufacturing are closely linked and apply the SEMI mantra together.

Where Electronics Begin

“Where Electronics Begin” is the tagline of the Electronics System Design Alliance, or the ESD Alliance. It aptly distills the fact that all IC manufacturing begins with design – and the design ecosystem. This week, SEMI announced it reached an agreement with the ESD Alliance to join SEMI as a SEMI Strategic Association Partner. The ESD Alliance will become part of the SEMI organization in 2018. With the ESD Alliance and its community joining SEMI, its membership will complete the full electronics design and manufacturing span.

This is a momentous step forward. The ESD Alliance’s ecosystem is vital and thriving and includes the world’s leading EDA and IP companies. Within the ESD Alliance community, Aart de Geus (Synopsys), Wally Rhines (Mentor, a Siemens Company), Simon Segars (Arm), and Lip-Bu Tan (Cadence), among others, are already familiar figures, having brought their thought leadership to SEMI platforms in the past. Now they, and the rest of the ESD Alliance members, will be able to more directly work with semiconductor equipment manufacturers, devices makers, and the rest of SEMI’s membership.

At events like SEMICON China, which recently concluded in March and attracted over 90,000 attendees, SEMI and the ESD Alliance members will be able to efficiently connect and engage the supply chain players and find new areas for collaboration. As SEMI’s membership looks out towards new applications and systems opportunities, having both ecosystems together will find possibilities faster and innovate approaches more practically.

The ESD Alliance will maintain its distinct community identity and governance while having access to, and the ability to augment, SEMI’s global platforms including seven regional offices, programs and expositions (including SEMICONs), advocacy (including trade, tax, talent, and technology), industry research and statistics, and other SEMI Strategic Association Partner and technology communities.

SEMI will gain direct access to the electronics design ecosystems to provide a deeper and wider value – to its combined membership – with SEMI’s mantra. SEMI and its more than 2,000 corporate members and more than 1.2 million stakeholders look forward to connecting, collaborating, and innovating with the ESD Alliance and its members. SEMI’s global reach and wide span of membership with ESD Alliance’s deep expertise in design and IP is truly the best of both worlds for all stakeholders.

Connect:  Design & Manufacturing

SEMI’s members have been reaching into the electronics design ecosystem and the ESD Alliance members have been reaching into SEMI’s ecosystem to optimize design and manufacturing process for lowest cost and highest yield. This week’s announcement is a step forward to directly and more intimately connect electronics design and manufacturing for the supply chain to work more closely together in full synchronization.

 

Connect-image1

Collaborate: From Beginning to End in Electronics Applications

With the ESD Alliance joining SEMI as a Strategic Association Partner, SEMI members can better collaborate across the full supply chain. Gone are the days when it was enough to collaborate only with one’s direct customer. Today, for example, components and c-subs suppliers frequently collaborate not just with their OEM equipment manufacturer customers, but with device manufacturers – and even system integrators. To be successful, companies are striving for connection to their customers’ customers.

The ESD Alliance, with its design ecosystem and linkage to the fabless community, will join three existing SEMI Strategic Association Partners: Fab Owners Alliance (FOA), MEMS & Sensors Industry Group (MSIG), and FlexTech (the association representing the flexible hybrid electronics ecosystem). These relationships now cover the entire span of electronics manufacturing.

To provide focused collaboration across the full supply chain, SEMI has developed five vertical application platforms: IoT, Smart Manufacturing, Smart Transportation, Smart MedTech, and Smart Data. These have been chosen because of unique and pressing needs to synchronize the supply chain and to engage and develop solutions collectively.

Collaborate-image1

Innovate:  Faster Future

With the confluence of emerging digital disruptions and new demand drivers, forecasts suggest the IC industry could grow to over $1 trillion in annual revenue by 2030. To deliver this growth, the supply chain must efficiently innovate together. SEMI’s value proposition is to speed the time to better business results for its members across the global electronics (design and) manufacturing supply chain. The addition of the ESD Alliance as a Strategic Association Partner is a key contributor to deliver this value proposition for the industry to grow and prosper now and in the future.

Global-Semi-Sales

Originally published on the SEMI blog.

Technavio market research analysts forecast the global lithography metrology equipment market to grow at a CAGR of around 8% during the period 2018-2022, according to their latest report.

This market research report segments the global lithography metrology equipment market into the following end-users (foundry, memory, and IDMs) and key regions (the Americas, APAC, and EMEA). It provides an in-depth analysis of the prominent factors influencing the market, including drivers, opportunities, trends, and industry-specific challenges.

In this report, Technavio analysts highlight the high demand for miniaturized electronic devices as a key factor contributing to the growth of the global lithography metrology equipment market:

High demand for miniaturized electronic devices

One of the key transformations in the global semiconductor industry is the emergence of miniaturized semiconductor components such as ICs. The vendors are concentrating on manufacturing miniaturized personal electronics that consume less power. The semiconductor components range from IC and chips to LED displays. The demand for miniaturized electronic devices has increased significantly. The vendors are focusing on reducing the size of the devices without compromising on their performance. Therefore, the IC chips installed in the system need to be small, while delivering better performance and consuming less power.

In case of equipment such as photolithography systems, they need to transfer the IC design from a photomask to a silicon wafer, which is smaller in size. The use of optimized and miniaturized electronic circuits made of semiconductor materials has increased due to the miniaturization of electronic devices. This aids in keeping the structure small while delivering the same performance.

According to a senior analyst at Technavio for semiconductor equipment, “The demand for small-sized ICs has been increasing due to the advances in technology and the emergence of compact devices such as smartphones, tablets, and wearable technology. The semiconductor device manufacturers have constantly been updating their offerings with more advanced and compact IC chips to suit their consumer requirements.”

Global lithography metrology equipment market geographical – segmentation analysis

The APAC region led the global lithography metrology equipment market in 2017. It contributed to more than 71% share of the global market. It was followed by EMEA and the Americas respectively. The market in the APAC region is expected to post significant growth by 2022. The APAC region will dominate the market through the forecast period. The market share of the Americas will decrease to some extent, and it will remain the least contributor to the market share through the forecast period.

Technavio is a global technology research and advisory company. Their research and analysis focuses on emerging market trends and provides actionable insights to help businesses identify market opportunities and develop effective strategies to optimize their market positions.

UnitySC, a developer of advanced inspection and metrology solutions for the semiconductor and related industries, today announced that its board of directors has appointed Kamel Ait-Mahiout as chief executive officer. He has also been elected to serve on UnitySC’s board. Following the company’s recent announcement of the acquisition of HSEB Dresden, GmbH, this appointment marks the next step of the company’s aggressive growth strategy for its process control solutions.

Ait-Mahiout joins UnitySC after serving seven years as senior vice president and general manager at Amkor Europe. During that time, he successfully restructured Amkor Europe, strategically positioning the company as a dynamic, customer-oriented, and commercially strong organization. Under his watch, Amkor Europe’s revenue grew by more than 60%, despite the region’s challenging competitive environment.

“We are pleased to welcome Kamel as Unity’s new CEO,” said Patrick Leteurtre, chairman of the board, UnitySC.  “He has demonstrated his leadership experience, operational excellence, and strategic vision in the semiconductor industry for over 20 years. Kamel’s experience managing growth businesses makes him exceptionally well-suited to lead us through our next growth phase, and position Unity as the next market leader in advanced inspection and metrology equipment.”

“It is a pivotal time to be joining UnitySC. The company has built a strong reputation for technology and market leadership, particularly in new semiconductor applications, and has significant growth potential driven by its ambitious strategy,” said Ait-Mahiout. “The combination of great in-house technologies, high-value products, growth based on a solid backlog of profitable revenue, and a group of very talented employees in a dynamic and innovative company culture is a recipe for success and makes for a very exciting CEO opportunity. I am delighted to bring my experience to guide the company through its next growth phase and make it a key player in semiconductor process control.”

Prior to Amkor, Ait-Mahiout held various roles with Kyocera Microelectronics and Tekelec Temex. In addition to a technical engineering background, he has a deep understanding of supply chain and industrial strategy. Ait-Mahiout holds a Science Master EEA, Electronic Components Option from the University of Technology in Reims, France.