Category Archives: Metrology

Luc Van den Hove, president and CEO of imec

Luc Van den Hove, president and CEO of imec

SEMI today announced that Luc Van den hove, president and CEO of imec, has been selected as the 2018 recipient of the SEMI Sales and Marketing Excellence Award, inspired by Bob Graham. He will be honored for outstanding achievement in semiconductor equipment and materials marketing during ceremonies at ISS 2018 on January 17 in Half Moon Bay, California.

Van den hove will receive the 21st SEMI Sales and Marketing Excellence Award for his contributions and leadership in consortia that made the imec model of collaborative research using pooled infrastructure self-sustaining. The model enables companies of all sizes and position in the value chain to participate in collaborative research that advances industry technology.

Inspired by the power of technology to improve lives, Van den hove transformed research from its focus on participation cost to an emphasis on collaboration to produce greater value. Under his leadership, imec brings together brilliant minds from established companies, startups and academia worldwide to work in a creative and stimulating environment with imec serving as their trusted partner. imec’s international research and development drives innovations in nanoelectronics and digital technologies by leveraging its world-class infrastructure and local and global ecosystem of diverse partners to accelerate progress towards a connected, sustainable future. Van den hove joined imec in 1984 and has led the technology innovation hub since 2009.

“Luc Van den hove is recognized both for his innovative marketing leadership and his resolve to deepen industry collaboration for the common good. Today, SEMI and its membership honor Van den hove for his contributions to the success of the semiconductor manufacturing industry,” said Ajit Manocha, president and CEO of SEMI.

The SEMI Sales and Marketing Excellence Award was inspired by the late Bob Graham, the distinguished semiconductor industry leader, who was a member of the founding team of Intel. Graham also helped establish industry-leading companies such as Applied Materials and Novellus Systems. The Award was established to honor individuals for the creation and/or implementation of marketing programs that enhance customer satisfaction and further the growth of the semiconductor equipment and materials industry.

Eligible candidates are nominated by their industry peers and selected after due diligence by an award committee. Previous recipients of this SEMI award include: Toshio Maruyama (2017), Jim Bowen (2016), Terry (Tetsuro) Higashi (2015), Winfried Kaiser (2014), Joung Cho (JC) Kim (2013), G. Dan Hutcheson (2012), Franz Janker (2011), Martin van den Brink (2010), Peter Hanley (2009), Richard Hong (2008), Richard E. Dyck (2007), Aubrey (Bill) C. Tobey (2006), Archie Hwang (2005), Edward Braun (2004), Shigeru (Steve) Nakayama (2003), Jerry Hutcheson and Ed Segal (2002), Jim Healy and Barry Rapozo (2001), and Art Zafiropoulo (2000).

By David W. Price, Douglas G. Sutherland and Jay Rathert

Author’s Note: The Process Watch series explores key concepts about process control—defect inspection, metrology and data analysis—for the semiconductor industry. This article is the first in a five-part series on semiconductors in the automotive industry. In this article, we introduce some of the challenges involved in the automotive supply chain. Future articles in the series will address specific process control solutions to those challenges.

In the 1950s less than 1% of the total cost of manufacturing a car was comprised of electronics. Today that cost can be more than 35% of the total and it is expected to increase to 50% by the year 2030.1 The rapid increase in the use of electronics in the automotive industry has been driven by four main areas:

  1. Systems monitoring and control (electronic fuel injection, gas-electric hybrids, etc.)
  2. Safety (anti-lock brakes, air bags, etc.)
  3. Advanced Driver Assistance Systems (lane departure warning, parking assist, blind spot monitoring, adaptive cruise control, etc.)
  4. Convenience (satellite navigation, infotainment, etc.)

Semiconductor components are at the core of the electronics integrated in cars, and depending on the make and model, a modern car may require as many as 8000 chips.2 This number will only increase as autonomous driving gains popularity – additional electronic subsystems with their underlying ICs will power the sensors, radar and AI needed for driverless cars.

With over 88 million cars and light trucks produced every year,3 each with thousands of chips, the influence of the automotive industry on semiconductor manufacturing is starting to take hold. There is one simple fact about these thousands of chips found in a car: they cannot fail. Reliability is absolutely critical for automotive semiconductor components. Any chip that fails in the field can result in costly warranty repairs and recalls, can damage the image of the automaker’s brand – or at the extreme, can result in personal injury or even loss of life.

If the average car contains 5000 chips and the automaker produces 25,000 cars per day, then even a chip failure rate at the parts per million (ppm) level will result in more than 125 cars per day that experience reliability issues as a result of chip quality. With semiconductors as the top issue on automakers’ failure Pareto,4 Tier 1 automotive system suppliers are now demanding parts per billion (ppb) levels of semiconductor quality with an increasing trend toward a maximum number of “total allowable failure events” regardless of volume. Current methods for finding reliability failures are overly dependent on test and burn-in, and as a result, the quality targets are missed by orders of magnitude. Increasingly, challenging audit standards are pushing for reliability failures to be found at their source in the fab, where costs of discovery and corrective action are the lowest. To enter this growing market segment – or simply maintain share – IC manufacturers must aggressively address this inflection in chip reliability requirements.

Fortunately for semiconductor manufacturers, chip reliability is highly correlated to something they know very well: random defectivity.5 In fact, for a well-designed process and product, early-life chip reliability issues (extrinsic reliability) are dominated by random defectivity.6-12 A killer defect (one that impacts yield) is a defect that causes the device to fail at time t = 0 (final test). A latent defect (one that impacts chip reliability) is a defect that causes the device to fail at t > 0 (after burn-in). The relationship between killer defects (yield) and latent defects (reliability) stems from the observation that the same defect types that impact yield also impact reliability. The two are distinguished primarily by their size and where they occur on the device structure. Figure 1 shows examples of killer and latent defects that result in open and short circuits.

Figure 1

Figure 1. The same defect types that impact yield also affect reliability. They are distinguished primarily by their size and where they occur on the device’s pattern structure.

The relationship between yield and reliability defects is not limited to a few specific defect types; any defect type that can cause yield loss is also a reliability concern. Failure analysis indicates that the majority of reliability defects are, in fact, process-related defects that originate in the fab. Because yield and reliability defects share the same root cause, increasing yield (by reducing yield-related defects) will have the additional benefit of improving reliability.

The yellow line in figure 2 shows a typical yield curve. If we only consider chip yield, then at some point, further investment in this process may not be cost-effective and thus the yield tends to level off as time progresses. The blue dashed line in figure 2 shows the curve for the same fab making the same product. However, if they want to supply the automotive industry then they must also account for the costs of poor reliability. In this case further investment is warranted to drive down defect density even further, which will both increase yield and deliver the improved reliability required for automotive suppliers.

Figure 2. Yield curves (Yield versus Time) for different fab types. The yellow line is for non-automotive fabs where the major consideration is fab profitability. At some point the yield is high enough that it is no longer practical to continue trying to drive down defectivity. The blue dashed line is the yield curve that also factors in reliability. For IC products used in the automotive supply chain additional investment must be made to ensure high reliability, which is strongly correlated to yield.

Figure 2. Yield curves (Yield versus Time) for different fab types. The yellow line is for non-automotive fabs where the major consideration is fab profitability. At some point the yield is high enough that it is no longer practical to continue trying to drive down defectivity. The blue dashed line is the yield curve that also factors in reliability. For IC products used in the automotive supply chain additional investment must be made to ensure high reliability, which is strongly correlated to yield.

The change from being a consumer-grade chip supplier to an automotive supplier requires a paradigm shift at the fab management level. Successful semiconductor manufacturers who supply the automotive industry have long adopted the following strategy: The best way to reduce the possibility of latent (reliability) defects is to reduce the fab’s overall random defectivity levels. This means having a world class defect reduction strategy:

  1. Higher baseline yields
  2. Lower incidence of excursions
  3. When excursions do occur, quickly find and fix them inline
  4. Ink out suspicious die using die-level screening

 

These and other strategies will be addressed in forthcoming articles in this Process Watch automotive series.

 

About the Authors:

 

Dr. David W. Price and Jay Rathert are Senior Directors at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 15 years, they have worked directly with over 50 semiconductor IC manufacturers to help them optimize their overall process control strategy for a variety of specific markets, including automotive reliability, legacy fab cost and risk optimization, and advanced design rule time-to-market BKMs. The Process Watch series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

 

References:

 

  1. https://www.statista.com/statistics/277931/automotive-electronics-cost-as-a-share-of-total-car-cost-worldwide/
  2. Senftleben and Froehlich, Aspects of Semiconductor Quality from an OEM Perspective, April 2017.
  3. http://www.businessinsider.com/2016-was-a-record-breaking-year-for-global-car-sales-and-it-was-almost-entirely-driven-by-china-2017-1
  4. https://www.consumerreports.org/car-reliability-owner-satisfaction/consumer-reports-car-reliability-survey-2017/
  5. Price and Sutherland, “Process Watch: The Most Expensive Defect, Part 2,” Solid State Technology, July 2015.
  6. Riordan et al., “Microprocessor Reliability Performance as a Function of Die Location for a .25um, Five Layer Metal CMOS Logic Process,” 37th Annual International Reliability Physics Symposium Proceedings (1999): 1-11. http://dx.doi.org/10.1109/RELPHY.1999.761584
  7. Barnett et al., “Extending Integrated-Circuit Yield Models to Estimate Early-Life Reliability,” IEEE Transactions on Reliability, Vol. 52, No. 3., 2003.
  8. Shirley, “A Defect Model of Reliability,” 33rd Annual International Reliability Symposium, Las Vegas, NV, 1995.
  9. Kim et al., “On the Relationship of Semiconductor Yield and Reliability,” IEEE Transactions on Semiconductor Manufacturing, Vol. 18, No. 3, 2005.
  10. Roesch, “Reliability Experience,” Published lecture #12 for Quality and Reliability Engineering ECE 510 at Portland State University, 2013. http://web.cecs.pdx.edu/~cgshirl/Quality%20and%20Reliability%20Engineering.htm
  11. Shirley and Johnson, “Defect Models of Yield and Reliability,” Published lecture #13 for Quality and Reliability Engineering ECE 510 course at Portland State University, 2013. http://web.cecs.pdx.edu/~cgshirl/Quality%20and%20Reliability%20Engineering.htm
  12. Kuper et al., “Relation between Yield and Reliability of Integrated Circuits: Experimental results and Application to Continuous Early Failure Rate Reduction Programs,” Proceedings of the International Reliability Physics Symposium (1996): 17-21.

By Emir Demircan, Senior Manager Advocacy and Public Policy, SEMI Europe

Electronic manufacturing is becoming cool to today’s youth. STEM skills are hot in the global job market – though the number of females pursuing a STEM education continues to lag. Work-based learning is key to mastering new technologies. And the electronics industry needs a global talent pipeline more than ever.

These were key highlights from a SEMI Member Forum in December that brought together industry representatives and students in Dresden to weigh in on job-skills challenges facing the electronics manufacturers and solutions for the industry to consider. Here are the takeaways:

1) Electronics is much more than manufacturing

For many years, working in the manufacturing industry was not an appealing prospect for millennials. This picture is certainly changing. The pivotal role of electronics manufacturing in helping solve grand societal challenges in areas such as the environment, healthcare and urban mobility is reaffirmed by countries around the world. Electronics is the lifeblood of game-changing technologies such as autonomous driving, AI, IoT, and VR/AR, enticing more young employees into careers in research, design, technology development, production, cyber security and international business, and in disciplines ranging from engineering and data analytics to software development and cyber security.

What’s more, the drudgery of many factory jobs is disappearing thanks to automation, digitization and robotization. According to CEDEFOP, the European Centre for the Development of Vocational Training, low-skilled jobs in electro-engineering and machine operations/assembly in the European Union (EU) is projected to decrease 6.98 percent and 2.03 percent, respectively, between 2015 and 2025.

In parallel, the industry will need more high-skilled workers. For instance, within the same period, CEDEFOP forecasts a 12.51 percent increase in jobs for EU researchers and engineers. Soft skills will see high demand too. As the electronics industry continues to globalize and drive the integration of vertical technologies, workers proficient in communicating in an international environment, leading multicultural teams, developing tailor-made solutions and making data-driven decisions will see higher demand.

2) STEM skills will remain under the spotlight

Continuous innovation is the oxygen of the electronics manufacturing industry, powering the development of highly customized solutions by workers with technical expertise in chemistry, materials, design, mechanics, production and many other fields. In addition, capabilities such as smart manufacturing require workers with growing technical sophistication in areas such as software, information and communications technology (ICT) and data analytics, stiffening the challenge the electronics industry faces in finding skilled workers. Little wonder that employers in Europe struggle to build a workforce with the right technical expertise. The findings of the study “Encouraging STEM Studies for the Labour Market” conducted by the European Parliament underscores the difficulty of hiring enough workers with adequate STEM skills:

  • The proportion of STEM students is not rising at the European level and the underrepresentation of women persists.
  • Businesses are expected to produce about 7 million new STEM jobs, an uptick of 8 percent, between 2013 and 2025 in Europe.

3) The women-in-tech gap is becoming more persistent 

The global manufacturing industry suffers from strikingly low female participation in STEM education and careers. According to UNSECO, in Europe and North America, the number of female graduates in STEM is generally low. For instance, women make up just 19 percent of engineers in Germany and the U.S. The European Parliament study confirms that STEM employment remains stubbornly male-dominated, with women filling just 24 percent of science and engineering jobs and 15 percent of science and engineering associate positions in Europe. According to an article by Guardian, a mere 16 percent of computer science undergraduates in the United Kingdom and the U.S. are female. This yawning gender gap is a deep concern for electronics manufacturing companies in Europe, hampering innovation in a sector that relies heavily on diversity and inclusion and shrinks the talent pipeline critical to remaining competitive.

4) Coping with new technologies: work-based learning is the key

The evolution of the electronics industry since the 1980s has been swift. PCs emerged largely as islands of communication, then became networked. Networking bred the proliferation of social platforms and mobile devices and, today, is giving rise to IoT. Education curricula in Europe, however, have not matured at the same pace, opening a gap between the worlds of industry and education and imposing a formidable school-to-work transition for many young graduates. Work-based learning, which helps students develop the knowledge and practical job skills needed by business, is one solution. The industry reports that work-based learning is vital to remaining competitive in the long run. Innovative dual-learning programmes, apprenticeships and industrial master’s and doctorates are shining examples that are already paying off in some parts of Europe. Such work-based learning models can be extended as a common pillar of education in Europe.

5) A global industry needs a global talent pipeline

The electronics value chain workforce needs an international and multicultural talent pipeline, chiefly spanning the U.S., Europe and Asia. However, many European manufacturers, in particular small and medium enterprises (SMEs), report that building an international workforce remains a challenge due to employment and immigration law barriers as well as cultural and linguistic differences. The EU’s Blue Card initiative, designed to facilitate hiring beyond Europe, is a step in the right direction. Nevertheless, with the exception of Germany, EU member states have made little or no use of the EU Blue Card scheme.

SEMI drives sector-wide initiatives on workforce development

Understanding the urgency, SEMI is accelerating its workforce development activities at global level. Contributing to this initiative, the SEMI talent pipeline Forum in Dresden served as an effective platform for the industry to share its challenges and opportunities with students at various education levels. Led by industry representatives, the sessions enabled the exchange of workforce-development best practices and paved the way for further collaboration among industry, academia and government in Europe. For example, in the Career Café session, students networked with hiring managers. Other workforce development initiatives include:

To help position the skills challenges faced by SEMI members high on the public policy agenda, SEMI in 2017 joined several policy groups including Digital Skills and Jobs Coalition and Expert Group on High-Tech Skills. Last year SEMI also launched Women in Tech, an initiative that convenes industry leaders to help increase female representation in the sector. SEMI also educates its members about key EU resources such as the Blue Card and Digital Opportunity Internship programmes aimed at hiring international talent. In 2018, SEMI will reach out to even more young people through its High Tech U programme to raise awareness of careers in electronics. SEMICON Europa 2018 will host dedicated talent pipeline sessions to help the industry tackle its skills challenges. ISS Europe 2018 sessions on Gaining, Training and Retaining World Class Talent will disseminate best practices to the wider industry. Also this year, SEMI Europe plans to start a new advisory group, “Workforce 4.0,” dedicated to bringing together human resources leaders in the sector to give the electronics manufacturing industry a stronger voice on workforce development.

 

After several years of close partnership, SEMI and the Fab Owners Association (FOA) have fully integrated. Driving manufacturing efficiencies remains the overriding purpose of the FOA – where the “A” now stands for “Alliance.”  With FOA’s integration with SEMI, the association will leverage SEMI’s global infrastructure and connections to over 2,000 members around the world to extend its platforms for collaborative networking and benchmarking manufacturing operations.

“FOA members operate some of the most innovative and efficient fabrication facilities and are hotbeds for new optimization methodologies in integrated circuit and micro-electromechanical production,” said Ajit Manocha, president and CEO of SEMI. “The integration of FOA with SEMI brings together firsthand understanding of manufacturing challenges so we can help all SEMI members achieve higher operating efficiency.”

With integration complete, FOA is managed as a Special Interest Group (SIG) within SEMI. FOA member companies will become full SEMI members, with FOA continuing to expand its global membership through SEMI’s global network, while maintaining its unique community.

Established in 2004, FOA brings to SEMI a focus on addressing common semiconductor operations and manufacturing issues. The full integration comes after more than a year in which the organizations operated under an Association Management Agreement where SEMI provided FOA association services and deepened its association with FOA. SEMI-FOA will continue to focus on manufacturing efficiency, including the group’s popular benchmark activities, to enable best practices among FOA members.

“FOA members manufacture a wide variety of complex devices, many of which make up the applications we see in some of the most advanced automotive systems, medical devices, Smart Manufacturing and general IoT,” said Dale Miller, member of the FOA Executive Director, and Senior Director, Fab 9 Semiconductor Manufacturing Operations at GLOBALFOUNDRIES. “Given the strong growth in these applications, FOA members must keep the fabs humming at full capacity while always pursuing higher yield and lower cost. To help maintain this momentum, FOA will continue to enable members to collaborate on best practices and benchmarking while focusing on key issues such as cycle-time, yield and tool performance.”

IC Insights is currently researching and writing its 21st edition of The McClean Report, which will be released later this month.  As part of the report, a listing of the 2017 top 50 fabless IC suppliers will be presented.

Figure 1 shows the top 10 ranking of fabless IC suppliers for 2017.  Two China-based fabless companies made the top 10 ranking last year—HiSilicon, which sells most of its devices as internal transfers to smartphone supplier Huawei, and Unigroup, which includes the IC sales of both Spreadtrum and RDA. Fabless company IC sales are estimated to have exceeded $100 billion in 2017, the first time this milestone has been reached.

Figure 1

Figure 1

Unlike the relatively close annual market growth relationship between fabless IC suppliers and foundries, fabless IC company sales growth versus IDM (integrated device manufacturers) IC supplier growth has typically been very different (Figure 2).  The first time IDM IC sales growth outpaced fabless IC company sales growth was in 2010 when IDM IC sales grew 35% and fabless IC company sales grew 29%.  Since very few fabless semiconductor suppliers participate in the memory market, the fabless suppliers did not receive much of a boost from the surging DRAM and NAND flash memory markets in 2010, which grew 75% and 44%, respectively.  However, the fabless IC suppliers once again began growing faster than the IDMs beginning in 2011 and this trend continued through 2014.

Figure 2

Figure 2

In 2015, for only the second time on record, IDM IC sales “growth” (-1%) outpaced fabless IC company sales “growth” (-3%).  The primary cause of the fabless companies’ 2015 sales decline was Qualcomm’s steep 17% drop in sales. Much of the sharp decline in Qualcomm’s sales that year was driven by Samsung’s increased use of its internally developed Exynos application processors in its smartphones instead of the application processors it had previously sourced from Qualcomm.  Although Qualcomm’s sales continued to decline in 2016, the fabless companies’ sales in total (5%) once again outpaced the growth from IDM’s (3%).

In 2017, the market behaved very similarly to 2010, when strong growth in the memory market propelled the IDM IC sales growth rate higher than the fabless IC supplier growth rate.  With the total memory market, a market in which the fabless IC companies have very little share, surging by 58% last year, IDM IC sales growth easily outpaced fabless company IC sales growth in 2017.

Through three quarters of calendar year 2017, market shares of top semiconductor equipment manufacturers indicate large gains by Tokyo Electron and Lam Research, according to the report “Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts,” recently published by The Information Network, a New Tripoli-based market research company.

The chart below shows shares for the entire year of 2016 and for the first three quarters of 2017. Market shares are for equipment only, excluding service and spare parts, and have been converted for revenues of foreign companies to U.S. dollars on a quarterly exchange rate.

equipment shares

Market leader Applied Materials lost 1.3 share points, dropping from 28.2% in 2016 to 26.9% YTD (year to date). Gaining share are Tokyo Electron Ltd. (TEL), which gained 2.4 share points while rising from 17.0% in 2016 to 19.4% in 2017 YTD. Lam Research gained 1.6 share points and growing from a 19.0% share in 2016 to a 20.6% share in 2017 YTD.

On a competitive basis, Applied Materials competes against both competitors in conductor and dielectric etch equipment and in deposition equipment (atomic layer deposition [ALD] and non-tube low pressure chemical vapor deposition [LPCVD]). TEL also competes against Screen Semiconductor Solutions, which dropped 1.4 share points, in photoresist track and wet clean equipment.

According to SEMI, the industry consortium, semiconductor equipment grew 41% in 2017.

SEMI today announced the appointment of Masahiko (Jim) Hamajima as president of SEMI Japan. Reporting to SEMI president and CEO Ajit Manocha, Hamajima assumes profit and loss (P&L) responsibility for SEMI Japan and leadership of SEMICON Japan along with all regional programs, events, and initiatives including SEMI Standards and industry advocacy. With more than 325 members, SEMI Japan plays a critical role in SEMI’s global industry association, representing more than 2,000 companies worldwide in the electronics manufacturing supply chain.

With all-time records expected in 2017 for global semiconductor revenue at $400 billion (USD), semiconductor equipment revenue at $56 billion (USD), and semiconductor materials revenue at $48 billion (USD), Japan is an essential global player and has seen very strong recent growth.  Japan supplies nearly one-third of the world’s semiconductor equipment and more than half all wafer fab materials. As semiconductor manufacturing continues its strong global growth, SEMI Japan role in connecting SEMI member companies in Japan with opportunities to collaborate and innovate with companies worldwide will take on increasing importance in enabling members’ growth and prosperity.

“With his long leadership experience at TEL, the largest semiconductor equipment company in Japan (and in the top-five globally), Jim understands the challenges and opportunities facing Japan as the global electronics manufacturing supply chain expands and evolves,” said Ajit Manocha, president and CEO of SEMI.  “Jim’s solid track record in heading ambitious business transformations makes him the ideal choice to lead SEMI Japan in SEMI’s 2.0 initiative. Jim will drive critical initiatives such as workforce development, greater environmental health and safety (EH&S) intensity, and new vertical application collaborations – like Smart Data and Smart Transportation that sharpen the industry’s focus on Artificial Intelligence (AI) and Machine Learning.”

Hamajima brings more than 30 years’ experience in the semiconductor equipment industry in Japan and the U.S. and a comprehensive understanding of the global industry.  Starting at Tokyo Electron Ltd. (TEL) in diffusion, Hamajima later held vice president positions overseeing multiple product lines at Tokyo Electron America and later for Cleaning Systems in Japan. Hamajima’s experience includes leading complex integrations as senior vice president at Timbre Technologies and as vice president and general manager at TEL-FSI. Prior to joining SEMI, Hamajima served as vice president and general manager of Corporate Strategy at TEL. Hamajima holds a Bachelor of Science degree in Metallurgy from the Nagoya Institute of Technology.

“I would also like to thank Osamu Nakamura for his important contributions, first as a SEMI Japan Regional Advisory Board member, later as a SEMI International BOD member and most recently as president of SEMI Japan, culminating in the very successful SEMICON Japan 2017 in mid-December,” commented Manocha. “I appreciate Osamu remaining as an advisor through the next several months to ensure a smooth transition and wish him a very happy retirement.”

 

The global mask alignment systems market is expected to grow at a CAGR of more than 9% during the forecast period, according to Technavio’s latest market research.

In this market research report, Technavio covers the market outlook and growth prospects of the global mask alignment systems market for 2017-2021. The market is further categorized based on application (microelectromechanical system (MEMS) devices, compound semiconductors, and LED devices) and end-user (foundry, memory, and integrated device manufacturer (IDM)).

APAC: largest mask alignment systems market

APAC has the presence of several prominent semiconductor foundries such as Taiwan Semiconductor Manufacturing Company (TSMC), Samsung, and SMIC. This has created demand for mask alignment systems in the region. The major revenue contributors to the mask alignment systems market in APAC are Taiwan, South Korea, and Japan. These countries contribute significantly to the market revenue as they are home to many leading semiconductor device manufacturers.

“In the APAC region, the presence of dominant players in the global consumer electronics and mobile devices markets such as Samsung, Sony, LG Electronics, Toshiba, and Panasonic is supporting the demand for semiconductor devices that include lithography equipment such as mask alignment systems. Furthermore, the major chip vendors in the region are investing in infrastructure development such as the construction of new fabs to increase the throughput,” says Rohan Joy Thomas, a lead semiconductor equipment research expert from Technavio.

Mask alignment systems market in EMEA

In EMEA, the demand for mask alignment systems comes mainly from companies such as Infineon Technologies, NXP Semiconductors, and STMicroelectronics. Germany and the UK are the major revenue contributors to the mask alignment systems market in EMEA due to the presence of several prominent automobile manufacturers such as AUDI, BMW, Daimler (Mercedes-Benz), and Volkswagen.

“The increased focus on safety, passenger comfort, and engine efficiency require more number of ICs and the fabrication of these ICs will need more semiconductor equipment, including mask alignment systems. This will fuel the growth of the mask alignment systems market in EMEA during the forecast period,” says Rohan.

Mask alignment systems market in the Americas

The Americas has a comparatively lower share than the other two regions. But, the Americas can expect some changes in its market share during the forecast period. Several prominent semiconductor vendors are headquartered in this region, even though their manufacturing facilities are in APAC (due to the cost-effectiveness of production in APAC). The governing authorities of the Americas are promising special packages in the form of subsidies and incentives to encourage manufacturers to bring back their production facilities to the Americas. The American Recovery and Reinvestment Act is an example of such initiatives.

The presence of prominent semiconductor manufacturers such as Global Foundries and Intel will create demand for lithography systems such as mask alignment systems during the forecast period. In addition, the region boasts of a few major car manufacturers that are looking to integrate semiconductor devices and components into their products. This will also create demand for semiconductor production equipment such as mask alignment systems from the region during the forecast period

The top vendors in the global mask alignment systems market as highlighted in this market research analysis are:

  • EV Group
  • Neutronix
  • SUSS Microtek

 

Electronics manufacturing executives will sharpen their competitive edge in Dublin, Ireland, on 4-6 March at Europe’s SEMI Industry Strategy Symposium (ISS Europe). The three-day flagship business event brings together analysts, researchers, economists, technologists and industry leaders for critical insights into the forces shaping the electronics manufacturing supply chain. With Europe a key engine of global innovation and the supply chain, ISS Europe 2018 takes aim at helping European organisations find new ways to maximise competitive advantage.

“Organisations operating in Europe need to find the most effective way to innovate, manufacture and profit by leveraging their strengths in the global supply chain,” said Laith Altimime, president, SEMI Europe. “During ISS Europe 2018, hosted by SEMI Europe, top European companies, research institutes and public institutions will convene to discuss how to compete and win globally in the context of Europe’s strategic, economic and social needs.”

ISS Europe 2018 discussions will focus on successful manufacturing in Europe and mechanisms to support innovation. The speaker lineup includes:

  • David Bloss, VP, Technology Manufacturing Group, Intel
  • Holger Blume, professor, University of Hanover
  • Jean-Frederic Clerc, deputy CEO and CTO, CEA Tech
  • Kevin Cooney, senior VP and managing director, Global CIO, Xilinx EMEA
  • Jean-Christophe Eloy, CEO, Yole Développement
  • Ann-Charlotte Johannesson, CEO, CEI-Europe AB
  • Cheryl Miller, founder/executive director, Digital Leadership Institute
  • Michael Morris, director AMBER Research Centre, professor, Trinity College Dublin
  • Alain Mutricy, senior VP product management, GLOBALFOUNDRIES
  • James O’Riordan, CTO, S3 Group
  • David Sneddon, director of large customer sales for Central Europe, Google
  • Florien van der Windt, Cluster Manager Smart Mobility, Dutch Ministry of Infrastructure & Environment
  • Hanns Windele, vice president, Europe and India, Mentor Graphics, a Siemens Business

The Panel Discussion “Critical Strategies to Grow Europe in the Global Supply Chain” will highlight ISS Europe 2018 as participants take advantage of great networking opportunities such as an opening reception and a gala dinner announcing the 2017 European Award winner.

Join Europe’s strategic thinkers and business drivers at ISS Europe 2018 in Dublin, Ireland from March 4-6, 2018.

Nova (Nasdaq: NVMI), a provider of metrology solutions for advanced process control used in semiconductor manufacturing, today announced that Ronnie (Miron) Kenneth, former Chief Executive Officer of Voltaire Technologies Ltd. (Nasdaq: VOLT) and former Chief Executive Officer of Pontis Ltd., has been appointed to the company’s Board of Directors.

Mr. Kenneth is a veteran high-tech leader who served for ten years as Chairman and Chief Executive Officer at Voltaire, leading it to an initial public offering on Nasdaq in 2007. Following the company’s merger with Mellanox Technologies Ltd. (Nasdaq: MLNX) in 2011, Mr. Kenneth became the Chief Executive Officer of Pontis Ltd., a privately-held company, until 2013. Mr. Kenneth currently serves as the Chairman of Teridion Technologies Ltd. and Varada Ltd., and he is a director of Allot Communications Ltd. (Nasdaq: ALLT) and Orbotech Ltd. (Nasdaq: ORBK).

“Ronnie brings a broad experience in leading technology companies. I am looking forward to adding his extensive experience in the high-tech industry to our board as Nova continues to expand. I have no doubt that Ronnie will be an important addition to our Board of Directors and will contribute to Nova’s success,” commented Dr. Micha Brunstein, Nova’s Chairman of the Board.

“I am excited to join Nova and have the opportunity to contribute to its continued growth,” added Mr. Kenneth. “Nova has already established a strong position in a rapidly expanding market, and I believe that this talented board will continue to chart a course of success for the company.”