Category Archives: Metrology

The Semiconductor Industry Association (SIA) today announced the SIA Board of Directors has elected Matt Murphy, president and CEO of Marvell Semiconductor, Inc. (NASDAQ: MRVL), as its 2018 Chair and Sanjay Mehrotra, president and CEO of Micron Technology, Inc. (NASDAQ: MU), as its 2018 Vice Chair.

SIA Matt Murphy headshot

“It is a great pleasure to welcome Matt Murphy as SIA’s 2018 Chair and Sanjay Mehrotra as SIA’s Vice Chair,” said John Neuffer, SIA President and CEO. “Matt is a strong leader, an industry veteran, and an outstanding champion for SIA and our industry. An engineer by trade, Sanjay is a mainstay in our industry and a respected voice on semiconductor technology. Together, their skills and accomplishments will be a major asset to advancing SIA’s priorities in Washingtonand around the world.”

Murphy has led Marvell since joining the company in July 2016, and serves as a member of the company’s board of directors. Since that time, he has led the company’s turnaround and reestablished Marvell as a leading innovator in storage and networking technology.

Prior to joining Marvell, Murphy spent over two decades at Maxim Integrated, most recently as Executive Vice President of Business Units and Sales & Marketing, overseeing all product development and go-to-market activities. Previously, Murphy managed the company’s Communications & Automotive Solutions Group, led Worldwide Sales & Marketing, and served in a range of other business unit management positions.

“Few technologies have impacted the modern world more than semiconductors, and we’re now entering an era that promises even greater change,” said Murphy. “However, progress isn’t guaranteed unless the United States does more to support research, boost competitiveness, and promote global trade. As 2018 SIA Chair, I look forward to working with my colleagues to champion these priorities.”

Mehrotra joined Micron in May 2017, after a long and distinguished career at SanDisk Corporation where he led the company from a start-up in 1988 until its eventual sale in 2016. In addition to being a SanDisk co-founder, Mr. Mehrotra served as its President and CEO from 2011 to 2016, overseeing its growth to a Fortune 500 company.

Prior to SanDisk, Mr. Mehrotra held design engineering positions at Integrated Device Technology, Inc., SEEQ Technology and Intel Corporation. Mehrotra earned both bachelor’s and master’s degrees in electrical engineering and computer science from the University of California, Berkeley. He holds more than 70 patents and has published articles on nonvolatile memory design and flash memory systems.

“Semiconductor technology has revolutionized our society and transformed our economy,” said Mehrotra. “The success of our industry is driven, in part, by our unity of purpose. Working together through SIA, we can ensure continued U.S. leadership in semiconductor manufacturing, design, and research. I look forward to helping lead that effort as 2018 SIA Vice Chair.”

For the first time ever, SEMICON Southeast Asia (SEMICON SEA), the region’s premier gathering of the industry connecting people, products, technologies and solutions across the electronics manufacturing supply chain, will be held in Kuala Lumpur. Taking place 8 to 10 May 2018, the conference will debut in the newly constructed Malaysia International Trade and Exhibition Centre (MITEC). With more than 85 percent of the exhibition space already sold, SEMICON SEA 2018 will represent companies from Southeast Asia, China, Taiwan, Europe and the U.S.  More than 300 companies will exhibit and as many as 8,000 visitors from 15 countries are expected to participate in SEMICON SEA. Organised by SEMI, SEMICON SEA 2018 theme will be “Think Smart Make Smart.”

The Southeast Asia region is a world-class electronics manufacturing hub with end-to-end R&D capabilities, and SEMICON SEA 2018 is the comprehensive platform for the electronics industry in the region. The event will feature three themed pavilions, five country pavilions, keynote presentations, and forums that will address critical trending topics within the semiconductor eco-system. The show will connect decision makers from the industry, demonstrate the most advanced products, and provide the most up-to-date market and technology trends.

Ng Kai Fai, president of SEMI Southeast Asia says, “The growth of SEMICON Southeast Asia is attributed to the rapid expansion and robust growth of the Electrical & Electronics (E&E) sector across Southeast Asia, with companies emerging as world leaders in mobile, automotive, medical and Internet of Things (IoT) supply chains. As one of the high-growth markets in the region, Malaysia contributes 44 percent of the total manufacturing output and 26 percent of the total Gross Domestic Product of the region and is forecasted to generate approximately US$ 382 billion in exports in 2018.”

Over the past three years, SEMICON SEA has become the annual gathering of the full regional supply chain. SEMICON SEA 2018 will feature a supplier search programme to encourage cross-border business matching as well as a technology start-up platform which will bring together Southeast Asia technology entrepreneurial resources. In conjunction with SEMICON SEA 2018, this event will also include the SEMICON University Programme which aims to encourage and promote STEM (Science, Technology, Engineering, and Mathematics) interest amongst young talent and will also include a job fair.

IC Insights has revised its outlook for semiconductor industry capital spending and will present its new findings in the November Update to The McClean Report 2017, which will be released at the end of this month.  IC Insights’ latest forecast now shows semiconductor industry capital spending climbing 35% this year to $90.8 billion.

After spending $11.3 billion in semiconductor capex last year, Samsung announced that its 2017 outlays for the semiconductor group are expected to more than double to $26 billion.  Bill McClean, president of IC Insights stated, “In my 37 years of tracking the semiconductor industry, I have never seen such an aggressive ramp of semiconductor capital expenditures.  The sheer magnitude of Samsung’s spending this year is unprecedented in the history of the semiconductor industry!”

Figure 1 shows Samsung’s capital spending outlays for its semiconductor group since 2010, the first year the company spent more than $10 billion in capex for the semiconductor segment.  After spending $11.3 billion in 2016, the jump in capex expected for this year is simply amazing.

To illustrate how forceful its spending plans are, IC Insights anticipates that Samsung’s semiconductor capex of $8.6 billion in 4Q17 will represent 33% of the $26.2 billion in total semiconductor industry capital spending for this quarter.  Meanwhile, the company is expected to account for about 16% of worldwide semiconductor sales in 4Q17.

IC Insights estimates that Samsung’s $26 billion in semiconductor outlays this year will be segmented as follows:

3D NAND flash: $14 billion (including an enormous ramp in capacity at its Pyeongtaek fab)

DRAM: $7 billion (for process migration and additional capacity to make up for capacity loss due to migration)

Foundry/Other: $5 billion (for ramping up 10nm process capacity)

annual samsung capex

IC Insights believes that Samsung’s massive spending outlays this year will have repercussions far into the future. One of the effects likely to occur is a period of overcapacity in the 3D NAND flash market. This overcapacity situation will not only be due to Samsung’s huge spending for 3D NAND flash, but also to its competitors in this market segment (e.g., SK Hynix, Micron, Toshiba, Intel, etc.) responding to the company’s spending surge.  At some point, Samsung’s competitors will need to ramp up their capacity or loose market share.

Samsung’s current spending spree is also expected to just about kill any hopes that Chinese companies may have of becoming significant players in the 3D NAND flash or DRAM markets.  As our clients have been aware of for some time, IC Insights has been extremely skeptical about the ability of new Chinese startups to compete with Samsung, SK Hynix, and Micron with regards to 3D NAND and DRAM technology.  This year’s level of spending by Samsung just about guarantees that without some type of joint venture with a large existing memory suppler, new Chinese memory startups stand little chance of competing on the same level as today’s leading suppliers.

SUNY Polytechnic Institute (SUNY Poly) is hosting the 11th IEEE Nanotechnology Symposium at its world-class Albany NanoTech Complex on Wednesday, November 15, from 9 a.m. to 5:30 p.m., with support from sponsors IEEE and the Electron Devices Society, as well as from donors IBM and GlobalFoundries.

The symposium will feature keynotes and presentations on topics from computational health, silicon photonics, spintronics, and packaging to advances in quantum computing devices and architecture. In addition, a number of technical leaders will be recognized with awards for their contributions toward the introduction of copper (Cu) interconnects to the semiconductor industry, including Dr. Dan Edelstein, IBM Fellow and one of the pioneers of this advancement.

In addition to the award recipients from IBM and GlobalFoundries, guests of honor include:

  • Mukesh Khare (Vice President, Semiconductor Technology Research, IBM);
  • Bahgat Sammakia (Interim President, SUNY Poly);
  • T.C. Chen (Vice President Science & Technology, IBM Fellow, IBM);
  • Bijan Davari (Vice President, Next Generation Computing Systems and Technology, IBM Fellow, IBM);
  • George Gomba (Vice President, Technology Research, GLOBALFOUNDRIES);
  • Thomas N. Theis (Executive Director, Columbia Nano Initiative, Columbia University); and
  • Kang-ill Seo (Vice President, R & D, Samsung Semiconductor Inc.).

A link to more information about the symposium, as well as an agenda, can be found here: http://albanynanotechnology.org/

SEMICON Europa 2017 will take place in Munich from 14 to 17 November, co-located with productronica. Consistent with SEMI’s theme “Connect, Collaborate, and Innovate,” co-locating SEMICON Europa with productronica gathers the full span of electronics manufacturing and end-products, creating the largest European electronics platform ever. More than 400 exhibitors will present their products and innovations at SEMICON Europa 2017. Over 40,000 attendees are expected at the co-located events.

After a period of slow growth, Europe’s semiconductor manufacturers are investing in new construction of 300mm fabs in Germany, Italy and France. Four semiconductor and MEMS manufacturers have announced investments in Europe totaling more than $10 billion. Bosch will build a new fab in Dresden; ST Microelectronics is planning two new 300mm fabs in Agrate and Crolles; and GLOBALFOUNDRIES and Infineon plan to expand their production capacity.

“The global industry will invest more than US$100 billion in equipment and materials this year. Forecasts for 2017 also predict that semiconductor manufacturers worldwide will exceed $400 billion in revenue ─ a new record,” says Ajit Manocha, president and CEO of SEMI.  “An unprecedented number of new inflections and applications will broadly expand the digital economy and drive increasing silicon content — in areas including IoT, assisted driving in automotive, Artificial Intelligence (AI), Big Data, and 5G. Assuming an average 7 percent CAGR, global chip sales could approach $1 trillion by 2030, and equipment and materials spending could similarly grow to nearly a quarter of a trillion dollars.”

The market segments in which European companies hold strong market positions also shape the conference program of SEMICON Europa 2017. More than 250 presentations, 50 conferences and high-caliber discussions provide an overview of current trends. Key issues this year include: materials, semiconductor manufacturing, advanced packaging, MEMS/sensors, power electronics, flexible and printed electronics. The focus is also on important applications such as the Internet of Things (IoT) and artificial intelligence (AI), smart manufacturing (“Industry 4.0”), automotive electronics and medical technology.

The Opening Ceremony will include a welcome speech by Ajit Manocha, president and CEO of SEMI,followed by Laith Altimime, president, SEMI Europe, plus four keynotes:

  • Bosch Sensortec: Stefan Finkbeiner, CEO, on how environmental sensing can contribute to a better quality of life in the context of the IoT
  • Rinspeed Inc.: Frank M. Rinderknecht, founder and CEO, on how to create innovative technologies, materials and mobility means of tomorrow
  • SOITEC: Carlos Mazure, CTO, executive VP, on contributions and benefits of engineered substrates solutions and thin-layer transfer technologies, focusing on applications in the smart space
  • TSMC Europe: Maria Marced, president, on opportunities for new business models to apply in the Smart City

On the exhibition show floor, the TechARENA free sessions are a highlight with the SEMI China Innovation and Investment Forum and the INNOVATION VILLAGE.

The Global Semiconductor Alliance (GSA) today announced the 2017 award nominees for the GSA Awards Dinner Celebration. Featuring a new Master of Ceremonies format hosted by Wayne Brady, five-time Emmy winner and Grammy nominee, the celebration will take place on Thursday, December 7, 2017, at the Santa Clara Convention Center in Santa Clara, California. The program will recognize companies that have demonstrated excellence through their vision, strategy, execution and future opportunity. These companies will be honored for their achievements in several categories ranging from outstanding leadership to financial accomplishments, as well as overall respect within the industry.

The 2017 Dr. Morris Chang Exemplary Leadership Award winner is Ray Stata, Cofounder and Chairman of Analog Devices, Inc.

The evening’s program will recognize leading semiconductor companies that have exhibited market growth through technological innovation and exceptional business management strategies. The award categories and nominees (in alphabetical order) are as follows:

View Nominee Announcement Video

Start-Up to Watch Award

  • DecaWave Ltd.
  • Innovium, Inc.
  • SiFive, Inc.

Most Respected Private Semiconductor Company Award

  • Aquantia Corporation
  • Luxtera, Inc.
  • Montage Technology
  • Silego Technology, Inc.

Most Respected Emerging Public Semiconductor Company Award (Achieving $100 Million to $500 Million in Annual Sales):

  • Monolithic Power Systems, Inc. (MPS)
  • Parade Technologies, Ltd.
  • Power Integrations, Inc.

Most Respected Public Semiconductor Company Award (Achieving $500 Million to $1 Billion in Annual Sales):

  • ams AG
  • Shenzhen Goodix Technology Co., Ltd.
  • Silicon Labs

Most Respected Public Semiconductor Company Award (Achieving $1 Billion to $5 Billion in Annual Sales)

  • Analog Devices, Inc.
  • Dialog Semiconductor
  • Xilinx, Inc.

Most Respected Public Semiconductor Company Award (Achieving Greater than $5 Billion in Annual Sales)

  • Infineon Technologies AG
  • NVIDIA Corporation
  • NXP Semiconductors N.V.

Best Financially Managed Semiconductor Company Award (Achieving Up to $1 Billion in Annual Sales):

  • Parade Technologies, Ltd.
  • Silicon Labs
  • Silicon Motion Technology Corporation (Silicon Motion, Inc.)

Best Financially Managed Semiconductor Company Award (Achieving Greater than $1 Billion in Annual Sales)

  • Maxim Integrated
  • SK Hynix Inc.
  • Skyworks Solutions, Inc.

Analyst Favorite Semiconductor Company Award (chosen by analyst Rajvindra Gill of Needham & Company, LLC)

  • Microchip Technology Inc.
  • Micron Technology, Inc.
  • NVIDIA Corporation

Outstanding Asia Pacific Semiconductor Company Award

  • MediaTek Inc.
  • Samsung Electronics Co., Ltd.
  • Spreadtrum Communications

Outstanding EMEA Semiconductor Company Award

  • Graphcore
  • Infineon Technologies AG
  • STMicroelectronics
  • Valens

 

Enabling the A.I. era


November 8, 2017

BY PETE SINGER, Editor-in-Chief

There’s a strongly held belief now that the way in which semiconductors will be designed and manufactured in the future will be largely determined by a variety of rapidly growing applications, including artificial intelligence/deep learning, virtual and augmented reality, 5G, automotive, the IoT and many other uses, such as bioelectronics and drones.

The key question for most semiconductor manufacturers is how can they benefit from these trends? One of the goals of a recent panel assembled by Applied Materials for an investor day in New York was to answer that question.

The panel, focused on “enabling the A.I. era,” was moderated by Sundeep Bajikar (former Sellside Analyst, ASIC Design Engineer). The panelists were: Christos Georgiopoulos (former Intel VP, professor), Matt Johnson (SVP in Automotive at NXP), Jay Kerley (CIO of Applied Materials), Mukesh Khare (VP of IBM Research) and Praful Krishna (CEO of Coseer). The panel discussion included three debates: the first one was “Data: Use or Discard”; the second was “Cloud versus Edge”; and the third was “Logic versus Memory.”

“There’s a consensus view that there will be an explosion of data generation across multiple new categories of devices,” said Bajikar, noting that the most important one is the self-driving car. NXP’s Johnson responded that “when it comes to data generation, automotive is seeing amazing growth.” He noted the megatrends in this space: the autonomy, connectivity, the driver experience, and electrification of the vehicle. “These are changing automotive in huge ways. But if you look underneath that, AI is tied to all of these,” he said.

He said that estimates of data generation by the hour are somewhere from 25 gigabytes per hour on the low end, up to 250 gigabytes or more per hour on the high end. or even more in some estimates.

“It’s going to be, by the second, the largest data generator that we’ve seen ever, and it’s really going to have a huge impact on all of us.”

Intel’s Georgiopoulos agrees that there’s an enormous amount of infrastructure that’s getting built right now. “That infrastructure is consisting of both the ability to generate the data, but also the ability to process the data both on the edge as well as on the cloud,” he said. The good news is that sorting that data may be getting a little easier. “One of the more important things over the last four or five years has been the quality of the data that’s getting generated, which diminishes the need for extreme algorithmic development,” he said. “The better data we get, the more reasonable the AI neural networks can be and the simpler the AI networks can be for us to extract information that we need and turn the data information into dollars.” Check out our website at www.solid-state.com for a full report on the panel.

By Ajit Manocha, president and CEO, SEMI

Artificial intelligence (AI) may be a hot topic today, but SEMI has helped to incubate Big Data and AI since its founding. Early in SEMI’s history, SEMI’s always intelligent members worked together to introduce International Standards that enabled different pieces of equipment to collect and later pass data.  At first, it was for basic interoperability and equipment state analysis.  Later, SEMI data protocol Standards allowed process and metrology data to be used locally and across the fab to approach the goals of Smart Manufacturing and AI – for the equipment itself to make adjustments based on incoming wafer data.

Ajit--photo 1--sample.e.XL3A5483 (from pdg)As a part of this evolution, SEMI members developed the latest sensors and computational hardware that could ever better sense, analyze and act on the environment. Often first to use its own newly developed hardware, progress in this area was critical toward improving the likelihood of success for one of the world’s most complicated production processes – and coping with the breakneck speed of Moore’s Law – by accelerating capabilities that would later be regarded as the basis for machine learning and “thinking” systems.

Since then, process steps have increased from about 175 to as many as 1,000 for the leading technology nodes. By the time 300mm wafers were introduced, manufacturing intelligence and automation sharply increased productivity while reducing fab labor by more than 25 percent. Employing adaptive models, modern leading-edge factories are fully automated and operate at nearly 60 percent autonomous control.

Today, AI is akin to where IoT was yesterday in the hype cycle – popping up everywhere as a major consideration for the future. Neither IoT nor AI is hype, though – they’re the future.  There is ever more at stake for SEMI members with AI.  AI appears to be the next wave helping to maintain double-digit growth for the foreseeable future.

As part of its appeal for the global supply chain, AI can be a key silicon driver for three inflections that should benefit society. First, there is a massive increase in the amount of compute needed. Half of all the compute architectures shipping in 2021 will be supporting and processing AI.

Second, the Cloud will flourish and the Edge will bloom. By 2021, 50 percent of enterprise infrastructure will employ cognitive and artificial intelligence.

Third, new species of chips will emerge, such as the devices fueling IC content and electronics for the rapid growth of disruptive capabilities in vehicles and autonomous cars (as well as medical and agricultural applications, for example). There are also many more advantages created with and for AI as SEMI members enable new materials and advanced packaging.

What results can be measured from these changes for the global electronics manufacturing supply chain? More apps, more electronics, more silicon and more manufacturing.

On the other hand, the technologies alone create relatively little business value if the problems in our factories and markets are not well understood. There’s a great need to anticipate and guide AI. This requires a new kind of collaboration.

To address this need, SEMI’s vertical application platforms have been created for Smart Data (which is all about AI), and also for Smart MedTech, Smart Transportation, Smart Manufacturing and IoT. This higher degree of facilitated collaboration serves to cultivate multiple “smart communities” that accelerate progress for AI, better directing how connected networks and data mining can step up the pace for advancement of global prosperity. This process also provides members with access to untapped business opportunities and new players.​​

Ajit--photo 2 (panel)_D512959

We at SEMI are learning right along with our members. If you attended SEMICON West in July, several lessons about AI were presented by the Executive Panel (“Meeting the Challenges of the 4th Industrial Revolutions along the Microelectronics Supply Chain”) with Mary Puma (Axcelis), Shaheen Dayal (Intel), Lori Ciano (Brooks Automation) and Regenia Sanders (Ernst & Young). This very timely and excellent panel discussed how and where predictive analytics can have the biggest impact and the implications of sharing (and not sharing) data for problem solving and process optimization.

Ensuring that the SEMI staff gleans everything possible from the experts, we hosted an “encore” of the Executive Panel in October in our headquarters for an even more in-depth discussion about how to enhance collaboration across the supply chain in support of AI.

Going forward, these SEMI vertical platform communities will help to simplify and accelerate supply chain engagement for member value. Collaboration will play an ever greater role for using AI to master the making of advanced node semiconductor devices and enabling limitless cognitive computing. As a result, AI as we know it today, has a big head start over the previous pace of evolution for one of our great trendsetters, Moore’s Law.

Join the conversation.  Find out how you can work with SEMI to advance the AI – and especially AI in semiconductor manufacturing.  Frank Shemansky Jr., Ph.D., is heading up SEMI’s formation of SEMI’s Smart Data vertical application platform.  Let Frank know ([email protected]) you’re interested and he’ll give you more information on what’s to come.  As always, please let me know your thoughts.

 

By Lara Chamness, SEMI

2017 will be a record-breaking year. Semiconductor sales will exceed $400 billion for the first time and semiconductor equipment sales will finally shatter the historic high set in 2000. What is driving this growth?

Monolithic demand drivers have been replaced by a diversity of applications including: Augmented Reality, Virtual Reality, Artificial Intelligence, cloud storage, Smart Automotive (driver assistance and autonomous), Smart Manufacturing, and Smart MedTech. These proliferating demand drivers and ensuing increasing silicon (semiconductor) content in electronics is fueling what many are calling a “super cycle.” The overwhelming majority of semiconductor devices used to enable these end markets are commodities, creating a renaissance for smaller wafer diameter fabs (200mm and smaller).

Not only are legacy fabs seeing a resurgence, the industry is seeing the evolution of China transitioning away from primarily being a consumer of chips towards developing a self-sufficient semiconductor supply chain. Spurred by the 2014 National IC Guideline, all IC ecosystem sectors in China made significant progress in 2016. Such as IC design becoming the largest semiconductor sector, surpassing IC packaging and test, with over 1,300 vendors. Advancements have been made in chip production with over 24 new fab construction projections underway or planned, prompting the wafer fab equipment market to exceed $11 billion in 2018 and to potentially surpass $18 billion by 2020.

SEMI’s complimentary webinar will take place on Thursday, November 9, 2017.

In this webinar, an overview of the latest semiconductor market trends, drivers and forecasts will be discussed. Segments covered will include fab capacity, equipment, and materials trends as well as discuss year-to-date data based on SEMI’s data collection programs. SEMI will provide a market update with data from SEMI’s Industry Research & Statistics Reports and Database, specifically highlighting two recently released reports: 200 mm Fab Outlook to 2021 and SEMI China IC Industry Outlook2017.

REGISTER for WEBINAR: 8:00am – 8:45am PST, Thur., Nov. 9, 2017

 

The technologies to watch identified by TechInsights analysts at the beginning of the year have not been disappointing.

BY STACY WEGNER, Ottawa, Canada, and JEONGDONG CHOE, Ottawa, Canada

TechInsights analysts have been keeping an intent watch on where technology has progressed, how it’s changing, and what new developments are emerging. At the end of the first quarter, our analysts shared their insights and thoughts about what to keep an eye on as the year unfolds. In this article, they provide an update on what 2017 has delivered so far.

Intelligent, connected devices

As we wrote earlier this year, in 2016, wearables were extremely interesting mainly because there was so much uncertainty around whether or not the market would be viable. Some, no, many, say the wearables market will cool off and possibly just expire. At TechInsights, we do will not speculate about whether this market is going to survive. We will report what we find and analyze what is currently being sold. Apple, Samsung, and Huawei have all released smartwatches for what would parallel a “flagship” in the mobile market (FIGURE 1). Fitness bands are becoming even ”smarter” and combining sensors where possible. Perhaps one of the most notable developments is Nokia’s acquisition and complete integration of Withings into its existing brands.

Screen Shot 2017-11-07 at 12.24.01 PM

We are witnessing the “rise of the machines,” in products from scales and hair brushes to rice cookers. Primarily these devices offer consumers convenience. For example, with a connected scale, instead of recording your weight manually, the smart scales do the job for you, syncing with various health apps so you can track your weight over time. The connected hair brush provides insights into your hair’s manageability, frizziness, dryness, split ends and breakage to provide a hair quality score. Brushing patterns, pressure applied and brush stroke counts are analyzed to measure effectiveness of brushing habits and a personal diagnosis is provided with tips and real-time product recommendations. The most common connected devices include refrigerators, lights, washing machines, thermostats, and televisions.

One dominant example is the ever-popular Amazon Echo, which has taken on a life of its own and is generating spin-off markets and competition. In July, it was reported that Amazon’s Alexa voice platform passed 15,000 skills — the voice-powered apps that run on devices like the Echo speaker, Echo Dot, newer Echo Show and others. The figure is up from the 10,000 skills Amazon officially announced in February. Amazon’s Alexa is building out an entire voice app ecosystem putting it much further ahead than its nearest competitor. The success seen with Echo has motivated other companies like Google, Lenovo, LG, Samsung and Apple to release compet- itive speakers, however it is estimated that Amazon is expected to control 70 percent of the market this year. In addition, Amazon and Microsoft recently announced a partnership to better integrate their digital assistants. This cross-platform integration provides users with access to Cortana features that Alexa is missing, and vice versa. Finally, the high- performance far-field microphones found in Amazon Echo products may soon find their way to other hardware companies as Amazon announced that the technology is available to those who want to integrate into the Alexa Experience. With its new reference solution, it’s never been easier for device makers to integrate Alexa and offer their customers the same voice experiences.

In the mobile market overall, we are seeing a strong emergence of devices targeted for the very hot market of India. The mobile devices for this market range from supporting 15 or more cellular bands to as few as five cellular bands, and that is for smart- phones. At TechInsights, we will be analyzing OEMs in India like Micromax, Intex, and Lava to see how they approach dealing with strong competitors like Samsung and Xiaomi.

Memory devices

In early 2017, 32L and 48L 3D NAND products were common and all the NAND players were eager to develop next generation 3D NAND products such as 64L and 128L. 3D NAND has been jumping into 64L (FIGURE 2). Samsung, Western Digital, Toshiba, Intel, and Micron already revealed CS or mass-products on the market. SK Hynix also showed their 72L NAND die as a CS product. In the second half of this year, we will see 64L and 72L NAND products on the commercial market. For n+1 generation with 96L or 128L, we expect that two-stacked cell array architecture for 3D NAND would be adopted in 2018. Micron/Intel will keep their own FG based 3D NAND cell structure for the next generation.

Screen Shot 2017-11-07 at 12.24.10 PM

Referring to DRAM, all the major players already used their advanced process technology for cell array integration such as an advanced ALD for high-k dielectrics, low damage plasma etching and honeycomb capacitor structure. Buried WL, landing pad and plug for a capacitor node, and MESH structure are still main stream. Samsung 18nm DRAM products for DDR4 and LPDDR4X are on the market. SK Hynix and Micron will reveal the same tech node DRAM products in this year. n+1 gener- ation with 15nm or 16nm node will be next in 2018. Once 6F2 15nm DRAM cell technology is successful, 4F2 DRAM products such as a capacitorless DRAM might be delayed. In 2018, 18nm and 15nm DRAM technology will be used for GDDR6 and LPDDR5.

When it comes to emerging memory, 3D XPoint memory technology is a hot potato (FIGURE 3). The XPoint products from Intel are on the market as an Optane SSD with 16GB and 32GB. Performance including retention, reliability and speed are not matched as expected, but they used a double stacked memory cell between M4 and M5 on the memory array. It’s a PCM with GST based material. An OTS with Se-As-Ge-Si is added between the PCM and the electrode (WL or BL). We expect to see multiple (triple or quadruple) stacked XPoint memory architecture within a couple years. For other emerging memory such as STT-MRAM, PCRAM and ReRAM, we’re waiting on some commercial products from Adesto (CBRAM 45nm, RM33 series) and Everspin (STT-MRAM pMTJ 256Mb, AUP-AXL-M128).

Screen Shot 2017-11-07 at 12.24.21 PM

Conclusion

The technologies to watch identified by TechInsights analysts at the beginning of the year have not been disappointing. As our analysts continue to examine and reveal the innovations others can’t inside advanced technology, we will continue to share our findings on these and new technologies as they emerge, including how they are used, how they impact the market, and how they will be changed by the next discovery or invention.