Category Archives: Metrology

The International Microelectronics And Packaging Society (IMAPS) will celebrate the 50th anniversary of its flagship technical conference – the IMAPS Symposium – from October 9 – 12, 2017, as microelectronics engineers and scientists gather at the Raleigh Convention Center near Research Triangle Park, North Carolina, USA to take part in the electronics industry’s largest technical conference dedicated to advanced microelectronics packaging technology. Researchers and exhibitors will showcase their work during a comprehensive conference program of technical papers, panels, special sessions, short courses/tutorials, and an exhibition that will spotlight premier work in the fields of microelectronics, semiconductor packaging and circuit design.

The 50th International Symposium on Microelectronics is an international technology forum for the presentation of applied research on microelectronics, consisting of more than 180 papers presented by researchers from corporations, universities and government labs worldwide, with five technical tracks: Chip Packaging Interactions; High Performance, Reliability, & Security; Advanced Packaging & Enabling Technologies; Advanced Packaging & System Integration; and Advanced Materials & Processes.

Keynote Presentations Lead Off the IMAPS Technical Program on Tuesday, October 10
Four keynote addresses from leading industry experts include:

“Packaging Challenges for the Next Generation of Mobile Devices,” by Ahmer Syed, Senior director of package engineering, Qualcomm Technologies

“Packaging without the Package – A More Holistic Moore’s Law,” by Subramanian (Subu) S. Iyer, distinguished chancellor’s professor in the Charles P. Reames Endowed Chair of the Electrical Engineering Department at the University of California at Los Angeles (UCLA) and Director of the Center for Heterogeneous Integration and Performance Scaling (CHIPS)

“Electronics Outside the Box: Building a Manufacturing Ecosystem for Flexible Hybrid Electronics,” by Benjamin Leever, senior materials engineer, Air Force Research Laboratory (AFRL) Soft Matter Materials Branch

“Transforming Electronic Interconnect,” by Tim Olson, founder & CTO, Deca Technologies

International Panel Session & Wine Reception on Wednesday, October 11
A panel session on “Global Perspectives on Packaging Requirements & Trends Towards 2025” will be moderated by Jan Vardaman, TechSearch International and Gabriel Pares, CEA-Leti. Panelist will include representatives from Asia (Yasumitsu Orii, NAGASE Group and Ton Schless, SIBCO), Europe (Steffen Kroehnert, Nanium and Eric Bridot, SAFRAN), and North America (David Jandzinski, Qorvo). The 90-minute panel session includes a wine reception.

Diversity Roundtable & Networking Discussions on Monday, October 9
Following the opening reception, IMAPS leaders will conduct a series of roundtable discussions designed to inspire conversations about overcoming diversity barriers, the strengths inherent in a diverse workforce, identifying and collaborating with a mentor, and more.

Posters & Pizza Session on Thursday, October 12
One of the fastest-growing segments of the IMAPS conference is the popular “Posters & Pizza” session held outside the exhibit hall, giving attendees the opportunity to interact one-on-one with presenters in a more informal setting.

Professional Development Courses (Short Courses & Tutorials) on Monday, October 9
Preceding the IMAPS Symposium technical program is a full day of professional development opportunities, presented as a series of 2-hour sessions in four tracks: Intro to Microelectronics Packaging; Next Generation Packaging Challenges; Baseline & Emerging Technologies; and Reliability. These short courses represent a unique opportunity, only available through IMAPS, for participants to personally interact with the instructors, and with each other in small groups from 10 – 30 people, led by industry experts in the field with ample time for questions and networking.

Student Opportunities at IMAPS
As part of its ongoing mission IMAPS invites students to participate in an informal networking event on Tuesday, October 10 with IMAPS industry leaders over lunch in the exhibit hall, giving them an chance to learn about career opportunities, navigating the hiring process, and other topics. In addition, the IMAPS Microelectronics Foundation sponsors a student paper competitionin conjunction with the Symposium that awards more than $3,500 in scholarships for outstanding student papers.

Social Events & an Introduction to the RTP/Raleigh Area’s Technology Community
In addition to the technical program, a variety of social events are planned around the IMAPS Symposia, including the Annual David C. Virissimo Memorial Fall Golf Classic, a charity golf outing scheduled for Monday, October 9 at NCSU’s Lonnie Poole Golf Course. Proceeds from the event benefit the IMAPS Microelectronics Foundation.

Monday evening’s welcome reception will feature NC-themed entertainment from a local bluegrass band, and participants will also be able to view historical photos and other memorabilia spanning 50 years of IMAPS history.

There is also a scheduled tour of the nearby Micross Advanced Interconnect Technology (AIT) facility, one of the premier wafer bumping and wafer level packaging facilities in the U.S., with more than 20 years experience providing leading edge interconnect and 3D integration technologies (TSV, Si interposers, 3D IC) to worldwide customers.

New to the Symposium this year is a unique opportunity for IMAPS attendees to experience the vibrant technology community in the greater RTP/Raleigh area. IMAPS has invited local non-profit organizations that comprise the area’s rapidly-growing technology ecosystem to participate in a special area adjacent to the exhibit hall during the day of October 10, providing an opportunity for IMAPS Symposium attendees to network and interact.

To register for the IMAPS 50th International Symposium on Microelectronics, please visit the online registration site for more information, or contact Brianne Lamm, IMAPS Marketing & Events Manager, at [email protected] or 980-299-9873.

Despite a slightly down first quarter, the semiconductor industry achieved near record growth in the second quarter of 2017, posting a 6.1 percent growth from the previous quarter, according to IHS Markit (Nasdaq: INFO). Global revenue came in at $101.4 billion, up from $95.6 billion in the first quarter of 2017. This is the highest growth the industry has seen in the second quarter since 2014.

The memory chip market set records in the second quarter, growing 10.7 percent to a new high of $30.2 billion with DRAM and NOR flash memory leading the charge, growing 14 percent and 12.3 percent quarter-on-quarter, respectively.

“The DRAM market had another quarter of record revenues on the strength of higher prices and growth in shipments,” said Mike Howard, director for DRAM memory and storage at IHS Markit. “Anxiety about product availability in the previous third and fourth quarters weighed on the industry. This led many DRAM buyers to build inventory — putting additional pressure on the already tight market. This year is shaping up to smash all DRAM revenue records and will easily pass the $60 billion mark.”

“For NOR, the supply-demand balance has tightened raising average selling prices and revenue,” said Clifford Leimbach, senior analyst for memory and storage at IHS Markit. “This mature memory technology has been in a steady decline for many years, but some market suppliers are reducing supply or leaving the market, which has tightened supply recently, resulting in the increase of revenue.”

In terms of application, consumer electronics and data processing saw the most growth, increasing in revenue by 7.9 percent and 6.8 percent, respectively, quarter-on-quarter. A lot of this growth can be attributed to the continual growth in memory pricing, as supply still remains tight.

Industrial semiconductors showed the third highest growth rate at 6.4 percent during the same period. This growth can be attributable to multiple segments, such as commercial and military avionics, digital signage, network video surveillance, HVAC, smart meters, traction, PV inverters, LED lighting and medical electronics including cardiac equipment, hearing aids and imaging systems.

Another trend in the industrial market is increasing factory automation, which alone is driving growth for discrete power transistors, thyristors, rectifiers and power diodes. The market for these devices is expected to reach $8 billion in 2021, up from $5.7 billion in 2015.

Intel remains the number one semiconductor supplier in the world, followed by Samsung Electronics by a slight margin. IHS Markit does not include foundry operations and other non-semiconductor revenue in the semiconductor market rankings.

Among the top 20 semiconductor suppliers, Advanced Micro Devices (AMD) and nVidia achieved the highest revenue growth quarter over quarter by 24.7 percent and 14.6 percent, respectively. There was no market share movement in the top 10 semiconductor suppliers. However, seven of the 10 companies in the 11 to 20 market share slots did change market share.

top_5_semiconductor_companies

JoshThe Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced Josh Shiode has joined the association as government affairs director. In this role, Shiode will help advance the U.S. semiconductor industry’s key legislative and regulatory priorities related to semiconductor research and technology, product security, and high-skilled immigration, among others. He also will serve as a senior representative of the industry before Congress, the White House, and federal agencies.

“The U.S. semiconductor industry is a key driver of America’s economic strength, national security, and global technology leadership,” said John Neuffer, SIA president and CEO. “Josh Shiode’s extensive knowledge, skills, and experience will make him an ideal advocate for our industry’s policy priorities in Washington, D.C. We’re thrilled to welcome him to the SIA team and look forward to his help advancing initiatives that promote growth and innovation in our industry and throughout the U.S. economy.”

Shiode most recently served as senior government relations officer at the American Association for the Advancement of Science (AAAS), where he helped guide the association’s science and technology advocacy before the executive and legislative branches. Previously, Shiode was a public policy fellow at the American Astronomical Society (AAS), where he helped develop and implement AAS’s government advocacy strategies. Shiode holds a doctorate in astrophysics from the University of California, Berkeley and a bachelor’s degree in astronomy and physics from Boston University.

SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide semiconductor manufacturing equipment billings reached US$14.1 billion for the second quarter of 2017.

Quarterly billings of US$14.1 billion represent an all-time historic record for quarterly billings, exceeding the record level set in the first quarter of this year. Billings for the most recent quarter are 8 percent higher than the first quarter of 2017 and 35 percent higher than the same quarter a year ago. Sequential regional growth was mixed for the most recent quarter with the strongest growth exhibited by Korea. Korea maintained the largest market for semiconductor equipment for the year, followed by Taiwan and China. The data are gathered jointly with the Semiconductor Equipment Association of Japan (SEAJ) from over 95 global equipment companies that provide data on a monthly basis.

The quarterly billings data by region in billions of U.S. dollars, quarter-over-quarter growth and year-over-year rates by region are as follows:

2Q2017
1Q2017
2Q2016
2Q2017/1Q2017

(Qtr-over-Qtr)

2Q2017/2Q2016

(Year-over-Year)

Korea
4.79
3.53
1.53
36%
212%
Taiwan
2.76
3.48
2.73
-21%
1%
China
2.51
2.01
2.27
25%
11%
Japan
1.55
1.25
1.05
24%
47%
North America
1.23
1.27
1.20
-3%
3%
Europe
0.66
0.92
0.37
-29%
76%
Rest of World
0.62
0.63
1.31
-1%
-53%
Total
14.11
13.08
10.46
8%
35%

Source: SEMI (http://www.semi.org) and SEAJ (http://www.seaj.or.jp)

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market.

KLA-Tencor Corporation (NASDAQ: KLAC) today introduced five patterning control systems that help chipmakers achieve the strict process tolerances required for multi-patterning technologies and EUV lithography at the sub-7nm logic and leading-edge memory design nodes. Within the IC fab, the ATL™ (Accurate Tunable Laser) overlay metrology system and the SpectraFilm™ F1 film metrology system characterize processes and monitor excursions during fabrication of finFET, DRAM, 3D NAND and other complex devices. The Teron™ 640e reticle inspection product line and the LMS IPRO7 reticle registration metrology system facilitate development and qualification of EUV and advanced optical reticles at mask shops. The 5D Analyzer® X1 advanced data analysis system is the foundation of an open architecture approach that supports fab-customized analyses and real-time process control applications. These five new systems extend KLA-Tencor’s diverse portfolio of metrology, inspection and data analysis systems that enable identification and correction of process variations at the source.

“At the 7nm and 5nm design nodes, it is becoming increasingly difficult for chipmakers to find specific sources of on-product overlay error, critical dimension non-uniformity and hotspots,” said Ahmad Khan executive vice president of the Global Products Group at KLA-Tencor. “Our customers are looking beyond scanner corrections to understand how variations from all reticle and wafer process steps affect patterning. Through open access to fab-wide metrology and inspection data, IC engineers can quickly pinpoint and manage process issues directly where they occur. Our systems, such as the five introduced today, deliver our strongest technology to our customers’ experts, enabling them to drive down the patterning error contributions of every wafer, reticle and process step.”

The five new systems that support patterning control for sub-7nm design node devices include:

  • The ATL overlay metrology system utilizes unique tunable laser technology with 1nm resolution to automatically maintain highly accurate and robust overlay error measurements in the presence of process variations, supporting fast technology ramps and accurate wafer disposition during production.
  • The SpectraFilm F1 film metrology system employs new optical technologies that determine single- and multi-layer film thicknesses and uniformity with high precision to monitor deposition processes in production, and deliver bandgap data that predict device electrical performance earlier than end-of-line test.
  • The Teron 640e reticle inspection product line incorporates optical, detector and algorithm enhancements that detect critical pattern and particle defects at high throughput, advancing the development and qualification of EUV and optical patterned reticles in leading-edge mask shops.
  • The LMS IPRO7 reticle registration metrology system leverages a new operating mode to accurately measure on-device reticle pattern placement error with fast cycle time, enabling comprehensive reticle qualification for e-beam mask writer corrections and reduction of reticle-related contributions to device overlay errors in the IC fab.
  • The 5D Analyzer X1 data analysis system offers an extendible, open architecture that accepts data from a wide range of metrology and process tools to enable advanced analysis, characterization and real-time control of fab-wide process variations.

ATL, SpectraFilm F1, Teron 640e, LMS IPRO7 and 5D Analyzer X1 are part of KLA-Tencor’s unique 5D Patterning Control Solution™, which also includes systems for patterned wafer geometry measurements, in-situ process measurements, critical dimension and device profile metrology, lithography and patterning simulation, and discovery of critical hotspots. Several ATL, SpectraFilm F1 and 5D Analyzer X1 systems are in use at leading-edge IC manufacturers worldwide, supporting a range of patterning control applications. Through upgrades and new tool shipments, the Teron 640e and LMS IPRO7 expand KLA-Tencor’s strong installed base of reticle inspection and metrology systems in advanced mask shops. To maintain the high performance and productivity demanded by IC manufacturing, ATL, SpectraFilm F1, Teron 640e, LMS IPRO7 and 5D Analyzer X1 are backed by KLA-Tencor’s global comprehensive service network. More information on the five new systems can be found on the advanced patterning control web page.

The latest update to the World Fab Forecast report, published on September 5, 2017 by SEMI, again reveals record spending for fab equipment. Out of the 296 Front End facilities and lines tracked by SEMI, the report shows 30 facilities and lines with over $500 million in fab equipment spending.  2017 fab equipment spending (new and refurbished) is expected to increase by 37 percent, reaching a new annual spending record of about US$55 billion. The SEMI World Fab Forecast also forecasts that in 2018, fab equipment spending will increase even more, another 5 percent, for another record high of about $58 billion. The last record spending was in 2011 with about $40 billion. The spending in 2017 is now expected to top that by about $15 billion.

fab equipment spending

Figure 1: Fab equipment spending (new and refurbished) for Front End facilities

Examining 2017 spending by region, SEMI reports that the largest equipment spending region is Korea, which increases to about $19.5 billion in spending for 2017 from the $8.5 billion reported in 2016. This represents 130 percent growth year-over-year. In 2018, the World Fab Forecast report predicts that Korea will remain the largest spending region, while China will move up to second place with $12.5 billion (66 percent growth YoY) in equipment spending. Double-digit growth is also projected for Americas, Japan, and Europe/Mideast, while other regions growth is projected to remain below 10 percent.

The World Fab Forecast report estimates that Samsung is expected to more than double its fab equipment spending in 2017, to $16-$17 billion for Front End equipment, with another $15 billion in spending for 2018. Other memory companies are also forecast to make major spending increases, accounting for a total of $30 billion in memory-related spending for the year. Other market segments, such as Foundry ($17.8 billion), MPU ($3 billion), Logic ($1.8 billion), and Discrete with Power and LED ($1.8 billion), will also invest huge amounts on equipment. These same product segments also dominate spending into 2018.

In both 2017 and 2018, Samsung will drive the largest level in fab spending the industry has ever seen. While a single company can dominate spending trends, SEMI’s World Fab Forecast report also shows that a single region, China, can surge ahead and significantly impact spending. Worldwide, the World Fab Forecast tracks 62 active construction projects in 2017 and 42 projects for 2018, with many of these in China.

For insight into semiconductor manufacturing in 2017 and 2018 with more details about capex for construction projects, fab equipping, technology levels, and products, visit the SEMI Fab Database webpage (www.semi.org/en/MarketInfo/FabDatabase) and order the SEMI World Fab Forecast Report. The report, in Excel format, tracks spending and capacities for over 1,200 facilities including over 80 future facilities, across industry segments from Analog, Power, Logic, MPU, Memory, and Foundry to MEMS and LEDs facilities.

Rudolph Technologies, Inc. (NYSE: RTEC) announces new Truebump™ Technology on the Dragonfly™ Inspection System. Truebump Technology provides fast, accurate and repeatable three-dimensional (3D) metrology for all advanced packaging bumping applications, from copper (Cu) pillar, to microbumps, and even large C4 bumps. With the Dragonfly system, the advanced packaging industry now has premier high-volume 2D inspection and 3D bump metrology on a single platform. The first Dragonfly system with Truebump Technology has shipped to a major IC manufacturer in the United States.

“Truebump Technology combines multiple 3D metrology techniques to provide faster, more accurate, and more repeatable measurements of the 3D features that are critical in advanced packaging technologies,” said Matt Wilson, senior director of inspection product management, Rudolph Technologies. “As 2D and 3D dimensions decrease, the tolerances for manufacturing become tighter, and device stacking continues to drive an increase in functionality. Because these 3D connections are so vital for reliability, the bump height measurements need to be absolutely accurate.”

Wilson continued, “A single wafer may contain 50 million bumps, each with multiple data points, creating massive amounts of data. The Dragonfly system’s integrated connection with Discover®analytics software gives users tools to visualize data, correct coplanarity variations, and improve yields.

Truebump Technology is three times faster and 25 percent more repeatable than Rudolph’s previous generation tool. The Dragonfly system’s high volume throughput combined with industry leading accuracy and repeatability enable further adoption of stacked devices in advanced packaging applications that fuel today’s drive for thinner and lighter products that deliver more capability in a smaller form factor.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $33.6 billion for the month of July 2017, an increase of 24.0 percent compared to the July 2016 total of $27.1 billion and 3.1 percent more than the June 2017 total of $32.6 billion. All major regional markets posted both year-to-year and month-to-month increases in July, and the Americas market led the way with growth of 36.1 percent year-to-year and 5.4 percent month-to-month. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Worldwide semiconductor sales increased on a year-to-year basis for the twelfth consecutive month in July, reflecting impressive and sustained growth for the global semiconductor market,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Sales in July increased throughout every major regional market and semiconductor product category, demonstrating the breadth of the global market’s recent upswing, and the industry is on track for another record sales total in 2017.”

Year-to-year sales increased in the Americas (36.1 percent), China (24.1 percent), Asia Pacific/All Other (20.5 percent), Europe (18.9 percent), and Japan (16.7 percent). Month-to-month sales increased in the Americas (5.4 percent), Asia Pacific/All Other (2.8 percent), China (2.7 percent), Japan (2.1 percent), and Europe (1.2 percent).

To find out how to purchase the WSTS Subscription Package, which includes comprehensive monthly semiconductor sales data and detailed WSTS Forecasts, please visit http://www.semiconductors.org/industry_statistics/wsts_subscription_package/. For detailed data on the global and U.S. semiconductor industry and market, consider purchasing the 2017 SIA Databook: https://www.semiconductors.org/forms/sia_databook/.

Jul 2017

Billions

Month-to-Month Sales                              

Market

Last Month

Current Month

% Change

Americas

6.59

6.94

5.4%

Europe

3.16

3.20

1.2%

Japan

2.98

3.04

2.1%

China

10.41

10.69

2.7%

Asia Pacific/All Other

9.50

9.77

2.8%

Total

32.64

33.65

3.1%

Year-to-Year Sales                         

Market

Last Year

Current Month

% Change

Americas

5.10

6.94

36.1%

Europe

2.69

3.20

18.9%

Japan

2.60

3.04

16.7%

China

8.61

10.69

24.1%

Asia Pacific/All Other

8.11

9.77

20.5%

Total

27.13

33.65

24.0%

Three-Month-Moving Average Sales

Market

Feb/Mar/Apr

May/Jun/Jul

% Change

Americas

6.08

6.94

14.2%

Europe

2.99

3.20

7.3%

Japan

2.88

3.04

5.7%

China

10.13

10.69

5.6%

Asia Pacific/All Other

9.21

9.77

6.0%

Total

31.29

33.65

7.5%

Microsemi Corporation (Nasdaq: MSCC), a provider of semiconductor solutions differentiated by power, security, reliability and performance, today announced the appointment of Richard M. Beyer to its board of directors.

Beyer was chairman and CEO of Freescale Semiconductor from 2008 through June 2012. Prior to Freescale, he served as president, CEO and director of Intersil Corporation from 2002 to 2008. He has also previously served in executive management roles at Elantec Semiconductor, FVC.com, VLSI Technology Inc. and National Semiconductor Corporation. Beyer currently serves as chairman of the board at Dialog Semiconductor PLC and sits on the board at Micron Technology Inc. In addition, he served three years as an officer in the United States Marine Corps.

By Ajit Manocha, president and CEO, SEMI

In my first six months at SEMI, I’ve visited with many member companies and industry leaders.  One theme I hear repeatedly is a concern about our most fundamental source of innovation and productivity – people.

Our industry has a significant need for additional workers and several trends are working against us.

For one, only 11 percent of elementary students in the U.S. indicate an interest in science, technology, engineering, and mathematics (STEM) education according to the National Science Foundation.  In other regions, recruiting and retaining high-skilled workers remains a constant challenge.

Ironically, the incredible electronics manufacturing technology that we create has enabled many of the new-tech industries in software, social media, internet services and applications that now directly compete for the best and brightest technical talent.  Young engineers have other choices and many are lured to newer growth industries with familiar internet brands.

Today, due to continued industry advancement and robust growth, capital equipment companies, device makers and materials companies collectively have thousands to tens-of-thousands of open unfilled positions. Furthermore, the representation of women in the high-tech workplace remains disproportionately low.

We have long been aware of the need to support a diverse pipeline for high-skilled workers.  In 2001, the SEMI Foundation was established to encourage STEM education and stimulate interest in high-tech careers. SEMI and its Foundation launched the High-Tech U (HTU) program to engage and excite high school students. HTU enlists industry volunteers to work with local high school students in a three-day interactive hands-on curriculum. Young people get a fun and inspirational exposure to binary logic, circuit making, a fab or electronics manufacturing setting and other aspects of professional development.

To date, we’ve delivered 216 HTU programs and reached nearly 7,000 students in 12 states and nine countries.  The results are compelling.  Our 2016 survey of HTU alumni shows that they enter college at five times the national rates and 70 percent that graduated college are employed in a STEM field.   By any measure, the initiative is successful and worthwhile.

However, the talent problem statement has grown. Industry needs are greater and the time has come to redouble our effort to attract and retain talent for our high-skilled manufacturing sector.  Therefore, SEMI is elevating workforce development as a top strategic priority.

The SEMI HTU team is already engaged with key member companies to develop our enhanced roadmap for workforce development including a comprehensive study with Deloitte Consulting to underpin the key problems and solutions in areas of focus for decisive and systematic SEMI action.

Belle Wei, SEMI Foundation Board member and the Carolyn Guidry Chair in Engineering Education and Innovative Learning at San Jose State University said, “It is critical that we work to prepare the future workforce.  This requires a high level of collaboration between industry and higher education.  We appreciate SEMI’s leadership role in this collaboration to further develop the workforce pipeline.”

We have launched a HTU Certified Partner Program (CPP) with the goal of reaching more students through industry partners who commit to long-term participation and independent delivery of High Tech U.  In addition, we are expanding outreach to universities and community colleges and preparing to launch an industry image campaign to better tell the remarkable story of opportunity in our industry.

The capacity to innovate and the skills to manage complex design, engineering and manufacturing processes are essential factors that sustains our high-tech industry – and they are dependent on people.

Finally, as mentioned above, we have already started some new initiatives to enhance our HTU. A SEMI workforce development roadmap and execution plan will be detailed in a future SEMI Global Update article following the upcoming SEMI International Board Meeting.  SEMI welcomes any inputs in addition to your continued support.

This endeavor is increasingly urgent and recruiting the industry’s future innovators is well-aligned with SEMI’s mantra to connect, collaborate, innovate, grow and prosper.