Category Archives: Metrology

The new SRP 5000 angular positioning system from HEIDENHAIN incorporates its high accuracy MRP 5000 angle encoder with accomplished bearing technology along with a unique ETEL torque motor with ultra-low detent torque. This combination allows for very high stiffness with low cost of ownership and can easily replace rotary air bearing systems used for metrology. Industries that could take advantage of the SRP 5000 system are semiconductor manufacturing, metrology, and micromachining.

HEIDENHAIN's New SRP Angular Positioning System (PRNewsfoto/HEIDENHAIN CORPORATION)

HEIDENHAIN’s New SRP Angular Positioning System (PRNewsfoto/HEIDENHAIN CORPORATION)

The SRP 5000 is compact in size and is only 46.3mm in height and 124mm in diameter.

The system can be ordered in incremental or absolute models, where the incremental versions have encoders with 30,000 signal periods per revolution and system accuracies down to +/- 2.5 arc seconds. The absolute version has the same accuracy level and resolutions to 28 bits via the EnDat 2.2 interface.

The slotless iron-core ETEL torque motor has a peak torque of 2.7 Nm, a rated torque of 0.387Nm and the detent torque is just a mere 0.2% of the rated value. The motor is rated for 300 RPM and permits an extraordinarily smooth motion.

The SRP 5000 is best used with the AccurET position controllers from ETEL as absolute peak performance can be achieved with regard to dynamics, thermal management, and position stability. Other controllers can be used, however thermal overload protection must be maintained in the controller.

HEIDENHAIN CORPORATION is the North American subsidiary of DR. JOHANNES HEIDENHAIN GmbH, an international manufacturer of precision measurement and control equipment.  Our product line includes linear scales, rotary and angular encoders, digital readouts, length gauges, CNC controls, and machine inspection equipment.

ETEL S.A. is based in Switzerland with exclusive North American distribution through HEIDENHAIN CORPORATION in Schaumburg, IL.  As an international supplier of direct drive and motion control components and integrated systems, ETEL supports high tech industry with linear motors, torque motors, positioning stages, and motion controllers/systems.

In-line metrology methods used during extreme wafer thinning process pathfinding and development are introduced.

BY M. LIEBENS, A. JOURDAIN, J. DE VOS, T. VANDEWEYER, A. MILLER, E. BEYNE, imec, Leuven, Belgium & S. LI, G. BAST, M. STOERRING, S. HIEBERT, A. CROSS, KLA-Tencor Corporation, Milpitas, California

The pace of innovation in device packaging techniques has never been faster or more interesting as at the present time. Previously, data were sent through wires where in recent packages, components are connected directly using different 3D interconnect technologies. As the 3D interconnect density is increasing exponentially, pitches need to reduce to 5μm and below. Current interconnect technologies of 3D-SIC (3D-Stacked IC) do not offer such high densities. Parallel front-end of line wafer processing in combination with wafer-to-wafer (W2W) bonding and extreme wafer thinning steps in the 3D-SOC (3D System On Chip) integration technology schemes, as depicted in FIGURE 1, enable the increase of 3D interconnect density.

Screen Shot 2017-07-28 at 1.58.33 PMScreen Shot 2017-07-28 at 1.58.39 PM

During the extreme wafer thinning process pathfinding and development, different thinning techniques like grinding, polishing and etching were evaluated in [1] and [2] to target a final Si thickness specification of 5μm. For the comparison of the thinning techniques, multiple success criteria were defined to which the thinning process must initially comply. Firstly, the final Si thickness (FST) across the wafer needs to be within certain limits to achieve, for example, a stable via-last etch process with requirements to land on correct metal layers. Secondly, the thinning process may not induce damage on the top Si across the wafer and especially at the wafer edge which would directly impact the physical yield of the complete wafer stack. Finally, the wafer surface nanotopography (NT), shape and flatness need to be in control to ensure proper subsequent W2W bonding when going to multi- wafer stacks beyond N=2. To allow us to achieve these challenging criteria the metrology systems used must cope with areas of the wafer previously deemed to be in the “minimal care zone” of 1 – 2mm from the wafer edge. The wafer edge characterization must also go hand in hand with patterned wafer topography after thinning to maximize physical wafer yield.

In this paper, the in-line metrology methods used during the extreme wafer thinning process pathfinding and development are introduced. These metrology tools supplied results that enabled us to determine where the extreme wafer thinning process can be improved. The same techniques can eventually be used to validate the improvements and to monitor process stability when processes are released for volume production.

Metrology methods

Wafer Level Interferometry. For FST measurement and wafer surface shape and NT, a patterned wafer geometry system (KLA-Tencor’s WaferSightTM PWG) was used. This is a dual Fizeau interferometry system and simultaneously measures both the front surface and back surface height of patterned wafers at high spatial resolution. During the measurement, the wafer is supported in a vertical position to reduce any wafer distortion. The whole wafer acquisition is completed in a single shot allowing measurement of the front and back surface topography as well as wafer flatness and edge roll-off.

This tool is specifically designed for wafer geometry measurements with 1nm measurement precision and has previously been used to qualify the impact of wafer geometry on CMP in [3] and [4] and to determine the NT of a full wafer post CMP [5]. Using the device layout, the full-wafer NT map can be divided into individual dies and the range or peak-valley (PV) value can be the output for each individual die.

For this paper, the patterned wafer geometry (PWG) system is used to measure wafer thickness at multiple steps during W2W bonding and extreme wafer thinning to derive the final Si thickness of the top wafer after thinning. The thickness results as supplied by PWG is the relative height variation measured by interfer- ometry, with respect to the local absolute wafer thickness measured by a capacitive sensor before the interferometry measurement is performed. The tool can supply 2D and 3D representations of the wafer thickness measurement at high spatial resolution as depicted in FIGURE 2.

Screen Shot 2017-07-28 at 1.58.53 PM

Wafer Edge Inspection and Metrology. The all-surface wafer inspection and metrology system utilized (KLA-Tencor’s CIRCL-APTM) contains an edge inspection module. This module uses: (1) a laser scanning setup revolving around the wafer bevel; and, (2) a lateral edge profile camera acquiring images of the wafer edge while the wafer is rotating. The laser scan comprises the laser, multi-channel optics and photodetectors/photomultiplier tube (PMT).

The lateral edge profile images are used to measure and quantify the edge shape and edge trim dimensions (see FIGURE 3). Based on the edge shape, an optimal trajectory of the revolving optics is calculated for profile-corrected inspection to ensure proper incident of light on the wafer sample and to obtain good signal-to-noise ratio.

Screen Shot 2017-07-28 at 1.59.00 PM

The revolving laser scanner is used to perform simulta- neous edge inspection and metrology using brightfield, darkfield and phase-contrast modes to capture a broad range of wafer edge defect types with sensitivity down to 0.5μm. Images are acquired in the different contrast modes from all zones comprising the wafer edge, i.e. top and bottom near-edge (5mm), top and bottom bevel, and apex. Part of a full wafer edge inspection image, including notch, is shown in FIGURE 4.

Screen Shot 2017-07-28 at 1.59.07 PM

Inspection is performed basically by comparing neigh- boring pixels on a tangential line. Pixels with a contrast or gray value difference exceeding a certain user-defined threshold are considered to be part of defects. Using rule-based binning techniques and by defining regions of interest and care areas, a high defect classification accuracy and purity of the defects of interest can be achieved by the implemented defect classification strategy.

Metrology is performed by detecting edge transitions on radial lines enabling characterization of coverage, concentricity and uniformity of layers, films or other line features on the wafer edge.
Front Side Metrospection. The all-surface wafer inspection and metrology system also contains a front side inspection module that uses: (1) time-delay-integration (TDI) technology with concurrent brightfield (BF) and darkfield (DF) inspection channels; (2) bright LED illumination for precision and stability; and, (3) a set of recipe-selectable objectives to give different lateral resolutions.

The TDI camera detects an interference signal from the top and bottom surfaces of thinned Si. An example of such fringes is shown in FIGURE 5. The front side inspection module uses three illumination colors (RGB) that give three sets of interference signals, each has its own characteristic amplitude and frequency. By analyzing these signals, the Si thickness at the edge of the thinned wafer can be determined. The high resolution optics of the front side inspection module enables accurate thickness measurement when the edge rolls off rapidly.

Screen Shot 2017-07-28 at 1.59.15 PM Screen Shot 2017-07-28 at 1.59.21 PM

Results

Edge Defectivity. Using edge defect inspection and classification, it was possible to compare different wafer thinning process sequences with respect to grinding-induced damage, edge chipping and delamination, and to fine-tune the process by minimizing the defect count of these defects of interest.

FIGURE 6 is showing the results from automated edge defect inspection of wafers which received two different thinning process sequences. By placing inspection care areas on the regions of interest, i.e. near the wafer edge of the top thinned wafer, and by specifying defect classification rules, the inspection detected edge chippings and classified them accordingly with high accuracy. The defect count of detected edge chippings on the wafer thinned by approach A was significantly higher than on the wafer thinned by approach B. The edge integrity was better maintained when wafers are thinned using approach B. The details of the process sequences can be found in [1].

Screen Shot 2017-07-28 at 1.59.32 PM Screen Shot 2017-07-28 at 1.59.40 PM

When further exploring thinning approach B, a detailed edge inspection showed that the thinning process sequence induced a lateral shrinkage of the top wafer besides the normal wafer thinning, resulting in pattern exposure from the landing wafer as can be seen on the right inspection image of Fig. 6.

Global Wafer Thickness. The most important element in the extreme wafer thinning process is a precise control of the FST, and its variation, with a maximum 3σ repeatability of 50nm to obtain a precision-to-tolerance ratio smaller than or equal to 0.1. The FST was measured by PWG and is the subtraction of the thickness measurement of the bottom wafer from the thickness measurement of the wafer stack after bonding and thinning, according to below equation.

The different components of this equation are depicted in FIGURE 7. Thickness #2(x,y) is the thickness of the total stack after W2W bonding and thinning. Thickness #1(x,y) is the thickness of the bottom wafer. Finally, to know the FST of the top wafer, the thickness of the dielectrics on top and bottom wafer are subtracted. The latter thickness is considered to be constant since the variation of the dielectric thickness is negligible compared to the variation of FST.

Screen Shot 2017-07-28 at 2.01.24 PM

FIGURE 8 shows the thickness profile of the top Si layer after the thinning process sequence as measured by PWG. The FST varied about 2μm center-to-edge, with a strong gradient when approaching the wafer edge. Between wafer edge and 2mm from the wafer edge, it becomes challenging for standard wafer metrology tools to measure the thickness profile. Reasons are the wafer edge exclusion imposed by the tool and the non-opaque behavior of Si at a certain thickness in function of the wavelength applied by the metrology tool. The CIRCL-AP was used to investigate the edge profile of the top wafer to complete the full wafer charac- terization of the FST. Result details are elaborated in the following sections.

The results of the PWG measurements showed a clear correlation with standard ellipsometry-based metrology measurements, as can be seen in FIGURE 9. The advantage of PWG over ellipsometry is that more points on the wafer are measured at higher throughput and results are more reliable with the presence of patterns in the complex stack of 3D-SOC W2W bonded wafers.

Edge Metrology. For the wafer edge profile of the bonded wafer pair after thinning, it is expected to see a stepwise decrease of the FST of the top wafer due to the edge trim of the top wafer before bonding (FIGURE 10). However, the FST showed a slower decrease when approaching the wafer edge.

Screen Shot 2017-07-28 at 2.01.39 PM

With the edge metrology function, CIRCL-AP was capable to detect and report from what radius the final Si thickness starts to decrease, as depicted in FIGURE 11. It is expected to see a uniform area of the top wafer top surface that extends to a radius of about 149.5mm, in case the top wafer received an edge trim width of 0.5mm. However, from radius 147.5mm, the FST started already to decrease towards the wafer edge. This decrease is the lateral shrinkage that was mentioned previously when discussing the results presented in Fig. 6.

Screen Shot 2017-07-28 at 2.01.54 PM

Edge Thickness. The lateral shrinkage was further confirmed by detailed thickness measurements focusing on the wafer edge using the CIRCL-AP’s front side inspection module. The inspection tool with metrology capabilities (metrospection) showed the thickness profile and quantified the decrease as a function of wafer radius R and angle θ as depicted in FIGURE 12. There is a gradual thickness decrease noticed from 3μm to 0μm indicating that there is no Si left in a 2mm ring at the edge while the initial edge trim width was 0.5mm only.

Process improvement

The FST profile and edge shape of the top wafer are characterized by using previously described metrology techniques. To enable a stable and robust via-last process and to realize multi-wafer stacking, the FST variation needs to decrease below 1μm and the lateral shrinkage needs to be minimized. The optimization of the wafer thinning process sequence is ongoing work by applying different hardware configurations, tuning the processes and validating whether requirements are met by using the same metrology techniques as described in this paper.

Conclusions

We have shown the capability of two complementary metrology tools to characterize the extreme wafer thinning process. This tool set can also be implemented to control the performance in a production environment at high throughput. Excursions can be analyzed further using techniques like in-line AFM. When thinning Si to 5μm and below for 3D-SOC integration technology schemes, multiple challenges arise where different measurement techniques are needed to characterize the final Si thickness across the full wafer. A good control of the final Si thickness as well as the total thickness variation (TTV) will become important when further scaling down 3D interconnects and increasing their density.

Acknowledgements

Authors would like to thank Fumihiro Inoue, Nina Tutunjyan, Stefano Sardo and Edward Walsby for supplying wafers to inspect and measure, for the interpretation and discussion of the results afterwards, and the early involvement of metrology in the process developments. This paper was previously published in the Proceedings of the 28th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2017), Saratoga Springs, NY, 2017, pp. 331-336.

References

1. A. Jourdain, “Extreme Wafer Thinning Optimization for Via-Last Applications,” 3DIC, November 2016.
2. F. Inoue, “Characterization of Extreme Si Thinning Process for Wafer- to-Wafer Stacking,” ECTC, May 2016.
3. K. Freischlad, S. Tang, and J. Grenfel, “Interferometry for wafer dimensional metrology,” Proceedings of SPIE, 6672, 667202 (2007).
4. P. Vukkadala, K. T. Turner, and J. K. Sinha, “Impact of Wafer Geometry on CMP for Advanced Nodes,” Journal of the Electro- chemical Society, 158(10), p. H1002 (2011).
5. L. Teugels, “Within-die and within-wafer CMP process characterization and monitoring using PWG Fizeau interferometry system,” ICPT, October 2016.
6. C. Mehanian et al., “Systems and Method for Simultaneously Inspecting a Specimen with Two Distinct Channels,” US Patent 7,782,452, issued August 2010.

Using scanning capacitance microscopy with a Park Systems atomic force microscope a team at NASA successfully characterized both the spatial variations in capacitance as well as the topography of vacuum-channel nanoelectronic transistors.

BY MARK ANDREWS, Park Systems, Santa Clara, CA

Imagine the not-too-distant future when a NASA spacecraft edges silently into orbit around Mars. Its 473-million-mile journey included a trip around the sun to sling shot itself into in geosynchronous orbit. Its mission: gather new site-specific details and deploy a rover as preludes to the first human mission to the red planet. But before anyone can take ‘one giant leap’, the Mars Path Marker needs to supply fresh data to anxious scientists back on earth.

The probe cost $1.8 billion. Its planning, construction and flight time to Mars took eight years and thousands of work hours from all across the aerospace supply chain.

Red lights are now flashing all across screens back on earth at NASA’s Jet Propulsion Laboratory in Pasadena, California. The probe remains inactive while its earth-side controllers grow frantic. Path Marker should have automatically powered-up for its first mapping transit, but instead hangs quietly above the ruddy Martian landscape.

Screen Shot 2017-07-27 at 10.03.12 AM

Unbeknownst to controllers on earth, Path Marker wasn’t responding because of a short-circuit ‘latch-up’ in its silicon processors. Communications won’t resume for now—maybe not ever.

Earth could not see it happen, but when Path Marker flew around Sol, its passage coincided with an unusually large solar flare on the backside of oursun. More energy than what usually strikes Mars in six months was released in a series of coronal explosions, sending cascades of lethal, heavy ions plowing through Path Marker’s delicate solid- state transistors as if its shielding wasn’t even there.

Despite the best of plans, precautions and preparations, this spacecraft is stuck in perpetual ‘neutral.’ Mission specialistsare trying all availablemission-saving workarounds, but only time will tell.

NASA researcher Dr. Jin-Woo Han hopes to prevent a critical failure in an important mission like this fictional account of the Mars Path Marker. In reality NASA has experienced all types of solid-state electronic failures during its decades of manned and robotic explorations. In his work, Dr. Han documented nine different types of failures in 17 named missions as well as many more that did not cause a mission failure, but impeded or slowed a program.

Although the Mars Path Marker mission is fictional, the need for a better semiconductor technology for deep space exploration is very real. That need is why Dr. Han and colleagues have placed hope in a new approach to solid state transistors that utilizessome of the same principles that gave vacuum tubes their role in humanity’s first electronic products more than 100 years ago.

Han is a scientist at NASA’s Ames Research Center for Nanotechnology in Moffett Field, California. The center is led by Dr. Meyya Meyyappan; Dr. Han leads the vacuum device research team within the 20-person organization. One of his most recent research efforts is tied to his theories and practical applications that leverage the advantages of vacuum for creating better electron flow, but without the drawbacks in existing solid-state technology that NASA frequently faces. The new transistors, called vacuum-channel nanoelectronic devices, are not prone to disruption by cosmic radiation, solar flares, radical temperature changes or similar dangers that can be encountered once a spacecraft (or humans) leave earth’s magnetic fields and dense atmosphere.

The challenges of space exploration are daunting. While loss of life tops many potentially egregious outcomes, damage to spacecraft instruments occurs much more commonly than the general public may realize. This damage remains a source of concentrated research and engineering efforts to mitigate and remedy problems that can lead to lack-luster performance or full system failures. The efforts to ensure safe and productive operation in satellites, probes and spacecraft is second only to the agency’s zeal for keeping human space flight safe.

How can early 20th century vacuum tube technology solve NASA’s very 21st century problems? First of all, the vacuum nanotechnology that NASA is developing is gener- ations beyond conventional vacuum tube engineering as it stood in the early 20th century. But vacuum-channel nanostructures and conventional vacuum tubes share essential functional similarities that make Dr. Han’s devices ideal candidates to replace today’s most robust silicon-based transistors.

Transistors enjoy their role in electronic technology because of their unique abilities to amplify and switch electronic signals as well as electrical power. Power or current applied to one set of terminals controls the current as it flows to another terminal pair (emitters/ collectors). And while a practical solid-state transistor was proposed in 1926 by Canadian researchers, materials science only matured enough for production in 1947; the landmark year in which researchers at the AT&T Bell Labs (New Jersey, USA), and independently a year later in France proposed designs that would become the forefa- thers of today’s microelectronic wonders.

Practical vacuum tube components came into play before 1910, and have several important advantages compared to solid-state transistors including their superior electron mobility. Like their solid-state cousins, tube transistors function by moving electrons unidirectionally from the emitter (a cathode) to be collected by the anode across a vacuum. Tubes fell out of favor for most low and medium power applications due to the advantages of solid-state construction including much smaller size and weight, ruggedness that exceeded old-style tubes, their aggre- gation ability that enabled today’s integrated circuits (ICs), and zero warm-up time – silicon transistors requireno cathode warming function. Solid-state devices also provide substantially greater electrical current efficiency.

It’s easy to see why solid-state electronics won a place in aerospace engineering. But once we actually got into space, we learned quickly that even robust silicon transistors were no match for deep space radiation. To make the best transistors that we had “good enough” for space, NASA mastered the process of creating backup systems and a host of other measures to keep missions on track. It also partnered with other agencies like DARPA (Defense Advanced Research Projects Agency) and the US Department of Defense to develop alternate technologies such as gallium arsenide (GaAs), gallium nitride (GaN), and the latest work from Dr. Han’s nanotech vacuum team. GaAs and GaN are much more robust than silicon, but decades of research have proven them less suitable for construction complex ICs than silicon.

Although conventional solid-state transistors enjoy clear advantages in terrestrial applications, in-space damage typically comes in three forms: instantaneous, cumulative and catastrophic. While the first two effects can frequently be worked around due to NASA’s extensive reliance on back-up systems, catastrophic effects can be “mission enders.”

Dealing with likely and possible performance disruptions costs NASA dearly in terms of extra weight, design time to createmultiple backup systems that can also complicate missions while consuming valuable payload space. Imagine if using a laptop computer on earth required double or even triple the amount of vital components—that laptop would easily be a third larger and more expensive. For NASA, ignoring risks will impede success or in worst-case situations lead to a disaster that costs millions and could even endanger lives if components weretied to a human spaceflight mission.

A common way to deal with these unknowns is to overbuild—create more circuit pathways or entire redundant subsystems because some components will almost certainly be “sacrificed” during encounters with space radiation. NASA frequently must opt for “acceptable” performance instead of what might ideally be possible simply because they cannot count of systems that have optimal performance will remain that way throughout an entire mission.

The advantage a controlled vacuum has in transistors is tied to the fact that solid-state devices can experience long-term failures resulting from additive and cumulative effects from multiple bombardments of ionizing radiation that destroys device features at nanometer scale. This most commonly occurs when the total ionizing dose causes gradual parametric shifts, resulting in on-state current reductions and an increase in off-stage current leakage. A vacuum-based device does not typically suffer from these same effects in part because the absence of material (gases or solids) in the space between emitters and collectors not only speeds the flow of electrons but in essence is protective because there is very little present in this tiny void that might be damaged by ionizing radiation.

Dr. Han’s team studied several different compounds and structures that could be utilized to construct the vacuum channel nano devices that would eventually prove likely successors to conventional transistors. These materials included bulk MOS, silicon-on-insulator (SOI), gate-all- around (GAA) MOSFET and what proved to be the most promising material and design combination, a GAA nanowire in a vacuum gate dielectric (FIGURE 1).

Screen Shot 2017-07-27 at 10.03.21 AM Screen Shot 2017-07-27 at 10.03.27 AM

To be effective and meet NASA’s requirements, new transistor technology had to be manufacturable at industrial scale using existing processes and techniques common to conventional silicon fabs or similar infrastructure. The ideal design would bring the “best of both worlds” together for a solution that is electrically sound, practical and compact as well as lightweight and reliable in the face of exposure to radiation and radical temperature fluctuations.

“But we did not ever approach this as a replacement for all silicon electronics or silicon transistors at large,” said Dr. Han. “While the devices could easily be used on earth—that is where we tested them in gamma radiation chambers after all—but the cost efficiencies of regular silicon MOSFET could not very likely improved by our vacuum-channel nanoelectronic designs.”

To measure device performance Dr. Han and his team employed a Scanning Capacitance Microscope (SCM) with an Atomic Force Microscope (AFM) from Park Systems. They investigated the nanoscale properties of vacuum- channel devices, seeking to ascertain their viability as a transistor while also observing if fabrication method- ology for gate insulators can be controlled.

“SCM with AFM is a powerful combination for investigating transistor devices—together, the two methods provide the user with a non-destructive process of characterizing both charge distribution and surface topography with high spatial resolution and sensitivity,” said Byong Kim, Analytical Systems Director, Park Systems.

Screen Shot 2017-07-27 at 10.03.33 AM

Kim explained that atomic force microscopy with SCM is ideal for investigating transistor designs at the nano scale. Together, the two methods provide researchers with non-destructive processes for characterizing both charge distribution and surface topography with high spatial resolution and sensitivity. In SCM, a metal probe tip and a highly sensitive capacitance sensor augment standard AFM hardware. During testing, voltage is applied between the probe tip and the sample surface. This creates a pair of capacitors in series (when examining metal-oxide-semiconductor devices) from the insulating oxide layer on the device surface and the active depletion layer at the interfacial region located between the oxide layer and doped silicon. Total capacitance is then deter- mined by the thicknesses of the oxide layer as well as the depletion layer, which is influenced by the level of silicon substrate dopingas well as the amount of DC voltage being applied between the tip and device’s surface.

Dr. Han reported that by utilizing scanning capacitance microscopy with a Park Systems atomic force micro- scope the team successfully characterized both the spatial variations in capacitance as well as the topog- raphy of his vacuum-channel nanoelectronic transistors. By examining the line profiles of the topography and capacitance data acquired down an identical path along the device’s source-drain interface, further insight was gained into the relationship between key physical struc- tures and recorded changes in capacitance.

The nanoelectronic device’s topography (at the source- drain interface) was imaged and revealed a vacuum- channel spanning 250 nm in length with peaks and valleys separated by a distance of approximately 5 nm (FIGURES 3-5). The electrical functionality of the device was assessed through the acquisition of a capacitance map. This map revealed a relatively negatively charged (-1.4 to -1.8μV) source-drain terminal and adjacent quantum dot followed by a relatively positively charged vacuum- channel (2μV) and another dot-terminal structure (-1.4 to -1.8μV) on the other end of the source-drain interface. This alternating series of capacitance changes at key structural points suggest that the device is fully capable of functioning as an effective transistor.

Screen Shot 2017-07-27 at 10.03.40 AM Screen Shot 2017-07-27 at 10.03.46 AM Screen Shot 2017-07-27 at 10.03.53 AM

NASA is now working towards next steps to investigate the potential of producing vacuum-channel nanoelectronic devices in higher volumes for further study. The team utilized standard semiconductor manufacturing techniques, so while fabrication is within existing process and materials technologies, settling on the ideal material for the transistors is also still being investigated.

“While the work initially focused on silicon as an under- lying technology, we next want to explore silicon carbide and graphene as alternatives—technologies that are more robust. Also, the charge emission efficiency of silicon may not be sufficient and we saw some degradation due to oxidization,” he remarked. “While we have demonstrated that a silicon vacuum-channel nanoelectronic device is possible. We now need to look at better emitter efficiency and reliability, balanced against ease of manufacturing – everything is a tradeoff in some regards.”

The Ames Research Center is open to partnering through industrial and university collaboration, like the work it has done in conjunction with Park Systems. NASA is already working with additional industrial partners and welcomes further collaboration.

North America-based manufacturers of semiconductor equipment posted $2.29 billion in billings worldwide in June 2017 (three-month average basis), according to the June Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

SEMI reports that the three-month average of worldwide billings of North American equipment manufacturers in June 2017 was $2.29 billion. The billings figure is 0.8 percent higher than the final May 2017 level of $2.27 billion, and is 33.4 percent higher than the June 2016 billings level of $1.72 billion.

“Through the first half of the year, 2017 equipment billings are 50 percent above the same period last year,” said Dan Tracy, senior director, Industry Research & Statistics, SEMI.  “While month-to-month growth is slowing, 2017 will be a remarkable growth year for the semiconductor capital equipment industry.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)
Year-Over-Year
January 2017
$1,859.4
52.3%
February 2017
$1,974.0
63.9%
March 2017
$2,079.7
73.7%
April 2017
$2,136.4
46.3%
May 2017 (final)
$2,270.5
41.8%
June 2017 (prelim)
$2,288.9
33.4%

Source: SEMI (www.semi.org), July 2017
SEMI publishes a monthly North American Billings report and issues the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. SEMI also has a long history of tracking semiconductor industry fab investments in detail on a company-by-company and fab-by-fab basis in its World Fab Forecast and SEMI FabView databases. These powerful tools provide access to spending forecasts, capacity ramp, technology transitions, and other information for over 1,000 fabs worldwide. For an overview of available SEMI market data, please visit www.semi.org/en/MarketInfo.

 

Worldwide silicon wafer area shipments increased during the second quarter 2017 when compared to first quarter 2017 area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Total silicon wafer area shipments were 2,978 million square inches during the most recent quarter, a 4.2 percent increase from the 2,858 million square inches shipped during the previous quarter. New quarterly total area shipments are 10.1 percent higher than second quarter 2016 shipments and are at their highest recorded quarterly level.

“For the fifth consecutive quarter, global silicon wafer volume shipments have shipped at record levels,” said Chungwei (C.W.) Lee (李崇偉), chairman of SEMI SMG and spokesman, VP, Corporate Development and chief auditor of GlobalWafers (環球晶圓). “These record levels are being driven by both 200mm and 300mm shipments.”

Silicon* Area Shipment Trends

Source: SEMI, (www.semi.org), July 2017

 

Millions of Square Inches

 

1Q2016

2Q2016

3Q2016

4Q2016

1Q2017

2Q2017

Total

2,538

2,706

2,730

2,764

2,858

2,978

*Semiconductor applications only

 

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers and epitaxial silicon wafers, as well as non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

 

In its upcoming Mid-Year Update to The McClean Report 2017 (to be released later this week), IC Insights addresses the changing landscape for semiconductor industry mergers and acquisitions.

The historic flood of merger and acquisition agreements that swept through the semiconductor industry in the past two years slowed to a trickle in the first half of 2017, with the combined value of about a dozen transactions announced in 1H17 reaching just $1.4 billion.

In the first halves of 2016 and the record-high M&A year of 2015, the combined value of acquisition agreements in 1H16 and 1H15 totaled $4.6 billion and $72.6 billion, respectively (Figure 1).  Last year, M&A got off to a slow start—compared to the record-breaking pace in 1H15—but several large transactions announced in 3Q16 pushed the 2016 total value in semiconductor acquisitions to nearly $100 billion and within striking distance of the all-time high of $107.3 billion set in 2015.  A few major semiconductor acquisitions were pending or rumored to be in the works during July 2017, but it is unlikely that a 2H17 surge in purchase agreements will bring this year’s M&A total value anywhere close to those of 2016 and 2015.

The big difference between semiconductor M&A activity in 2017 and the prior two years has been the lack of megadeals.  Thus far, only one transaction in 2017 has topped a half billion dollars (MaxLinear’s $687 million cash acquisition of analog and mixed-signal IC supplier Exar announced in March 2017 and completed in May).  There were seven announced acquisitions with values of more than $1 billion in 2016 (three of which were over $10 billion) and 10 in 2015 (four of which were over $10 billion).  IC Insights’ M&A list only covers semiconductor suppliers and excludes acquisitions of software and systems businesses by IC companies (e.g., Intel’s planned $15.3 billion purchase of Mobileye, an Israeli-based provider of digital imaging technology for autonomous vehicles, announced in March 2017).

The 250+ page Mid-Year Update to the 2017 edition of The McClean Report further describes IC Insights’ updated forecasts for the 2017-2021 timeperiod.

Figure 1

Figure 1

Advances in semiconductor and related devices are driving significant progress in our increasingly digital world, and the place to learn about cutting-edge research in the field is the annual IEEE International Electron Devices Meeting (IEDM), to be held December 2-6, 2017 at the Hilton San Francisco Union Square hotel. Highlights for 2017 include:

  • A talk on transformative electronics by Dr. Hiroshi Amano, who received the 2014 Nobel Prize in Physics along with Isamu Akasaki and Shuji Nakamura for the invention of efficient blue LEDs, which sparked a revolution in innovative, energy-saving lighting.
  • The above talk is part of an exceptional slate of plenary talks to be given by some of the industry’s leading figures. IEDM plenary presenters include the CEO of Advanced Micro Devices, Inc.; the research chief of TSMC, which is the industry’s largest foundry driving technology forward; a leading academic authority on energy-efficient computing, which is a key societal goal; as well as Dr. Amano’s fourth, additional plenary talk. It will be given on Wednesday, Dec. 6.
  • Focus Sessions will be held on the following topics: 3D Integration and Packaging; Modeling Challenges for Neuromorphic Computing; Nanosensors for Disease Diagnostics; and Silicon Photonics: Current status and perspectives.
  • A vendor exhibition will be held again, based on the success of last year’s first-ever such event at the IEDM.
  • The IEEE Magnetics Society will host a poster session on MRAM (magnetic RAM memories).

The IEDM paper submission deadline this year is August 2 and the deadline for late-news papers is September 11. Only a limited number of late-news papers will be accepted.

Each year at the IEDM, the world’s best technologists in micro/nano/bioelectronics converge to participate in a technical program consisting of more than 220 presentations along with special luncheon talks and a variety of panels, special sessions, tutorials, Short Courses, IEEE/EDS award presentations and other events that highlight leading work in more diverse areas of the field than any other conference.

“This year’s IEDM will feature talks, courses and panels by world experts on what is perhaps the broadest array of topics in recent memory,” said Dr. Barbara De Salvo, Scientific Director at Leti. “The unique technical program can lead one to view the IEDM as a crystal ball of sorts, because many of the developments reported at the conference invariably make their way into commercial products a few years down the road. As an example, this year’s IEDM conference marks 10 years since the industry transition from aluminum to copper interconnect began in earnest.”

Here are details of some of the events that will take place at this year’s IEDM:

Focus Sessions

  • 3D Integration and Packaging – Packaging technology is taking on an increasingly important role in semiconductor manufacturing, and this session will provide an industry perspective on forthcoming approaches ranging from “Simpler is better” to “Advanced packaging saves the day for continued scaling.” The session will address the latest in 3D, from alternative packaging to 3D stacking, and applications and technologies for Integrated Power Microelectronics.
  • Modeling Challenges for Neuromorphic Computing – This session will address the opportunities and challenges of efficient synaptic processes, from learning models to device-circuit implementations of neuromorphic architectures.  Half of the session will discuss learning models in stochastic processes, with the other half devoted to RRAM (resistive RAM) memory for deep neural networks and neuromorphic computing.
  • Nanosensors for Disease Diagnostics — From microfluidics to nanosensing, this session will review the latest advances for the detection of diseases such as cancer, sepsis and diabetes, using biomarkers ranging from (bio)molecules and individual cells to in-vitro tissue models.
  • Silicon Photonics: Current Status and Perspectives – This session addresses the state-of-the-art in silicon photonics technology, ranging from topics on high-volume manufacturing, optical transceivers and interconnects, to femto-joule per bit integrated nanophotonics for upcoming market applications in optical computing.

90-Minute Tutorials – Saturday, Dec. 2
A program of 90-minute tutorial sessions on emerging technologies will be presented by experts in the fields, bridging the gap between textbook-level knowledge and leading-edge current research. Advance registration is recommended.

  • The Evolution of Logic Transistors Toward Low Power and High Performance IoT Applications, Dr. Dae Won Ha, Samsung Electronics
  • Negative Capacitance Transistors, Prof. Sayeef Salahuddin, UC Berkeley
  • Fundamental, Thermal, and Energy Limits of PCM and ReRAM, Prof. Eric Pop, Stanford University
  • Hardware Opportunities in Cognitive Computing: Near- and Far-Term, Dr. Geoffrey Burr, Principal Research Staff Member, IBM Research-Almaden
  • 2.5D Interposers and High-Density Fanout Packaging as Enablers for Future Systems Integration, Dr. Sundaram Venkatesh, Associate Director, Georgia Tech 3D Systems Packaging Research Center
  • Silicon Photonics for Next-Generation Optical Interconnects, Dr. Joris Van Campenhout, Program Director Optical I/O, IMEC

Short Courses – Sunday, Dec. 3
Short Courses provide the opportunity to learn about important areas and developments, and provide the opportunity to network with experts from around the world. Advance registration is recommended.

  • Performance Boosters and Variation Management in Sub-5nm CMOS, organized by Sandy Liao, Intel
  • Merged Memory-Logic Technologies and Their Applications, organized by Kevin Zhang, TSMC

Plenary Presentations – Monday, Dec. 4

  • System Scaling Innovation for Intelligent Ubiquitous Computing, Jack Sun, VP of R&D, TSMC
  • Driving the Future of High-Performance Computing, Lisa Su, President & CEO, AMD
  • Energy-Efficient Computing and Sensing: From Silicon to the Cloud, Adrian Ionescu, Professor, EPFL

Plenary Presentation – Wednesday, Dec. 6

  • Development of a Sustainable Smart Society by Transformative Electronics, Hiroshi Amano, Professor, Nagoya University

Evening Panel Session – Tuesday evening, Dec. 5
The IEDM offers attendees an evening session where panels of experts give their views on important industry topics. Audience participation is encouraged to foster an open and vigorous exchange of ideas.

  • Who Will Lead the Industry in the Future? Moderator: Prof. Philip Wong, Stanford University

Entrepreneurs Lunch
The topic and speaker are yet to be determined, but this popular luncheon jointly sponsored by IEDM and the IEEE Electron Devices Society will be held once again.

Further information about IEDM
For registration and other information, visit www.ieee-iedm.org.

SUNY Polytechnic Institute (SUNY Poly) announced today that Interim Dean of Graduate Studies Dr. Fatemeh (Shadi) Shahedipour-Sandvik and her team of collaborators have been selected to receive $720,000 in federal funding from the U.S. Department of Energy’s Advanced Research Projects Agency-Energy (ARPA-E). The grant will be used to develop more efficient and powerful high-performance power switches at SUNY Poly for power electronics applications, such as for enabling a more efficient energy grid, for example. The research is in partnership with Dr. Woongje Sung of SUNY Poly, the Army Research Lab, Drexel University, and Gyrotron Technology, Inc.

“On behalf of SUNY Poly, I am excited to congratulate Professor Shahedipour-Sandvik as her wide-bandgap-focused research is recognized by the Department of Energy for its potential to improve power devices that are all around us to make our technological world more energy efficient and robust,” said SUNY Poly Interim President Dr. Bahgat Sammakia. “This award highlights SUNY Poly’s unique and advanced research capabilities, as well as its superb faculty who are developing the innovations of tomorrow right now in New York State.”

“This award is a strong indicator of how SUNY Poly’s resources and facilities are enabling the types of research that have the potential to improve power electronics devices which have become ubiquitous, from those utilized to make the power grid more efficient, to those that can improve electric car capabilities,” said SUNY Poly Vice President of Research Dr. Michael Liehr.

“I am proud that the U.S. Department of Energy’s ARPA-E has recognized our leading-edge power electronics-focused research, which holds the incredible potential to drive innovation for practical applications that could lead to worldwide energy savings. Advanced power electronic devices offer significant advances in power density, efficiency, and reduced total lifecycle cost,” said Prof. Shahedipour-Sandvik. “This grant allowing our SUNY Poly team and partners at the Army Research Lab, Drexel University and Gyrotron Technology, Inc. to explore advanced doping and annealing techniques for gallium nitride-based power devices is a testament to how SUNY Poly’s resources and leadership in areas like power electronics can help power the future in exciting and meaningful ways.” 

The SUNY Poly grant is part of a total of $6.9 million in funding that the U.S. Department of Energy ARPA-E is providing through its Power Nitride Doping Innovation Offers Devices Enabling SWITCHES (PNDIODES) program to seven institutions and organizations. With PNDIODES, ARPA-E is tackling a specific challenge in wide-bandgap semiconductor production. Wide-bandgap semiconductors are an important area of research because the materials, such as gallium nitride (GaN), allow for electronic devices to operate at higher temperatures and/or frequencies, for example, than current silicon-based computer chips, which is why technical advances in power electronics promise energy efficiency gains throughout the United States economy. Achieving high power conversion efficiency in these systems, however, requires low-loss power semiconductor switches. Power converters based on GaN could potentially meet the challenge by enabling higher voltage devices with improved efficiency—while also dramatically reducing size and weight of the device, for example.

The PNDIODES-funded research focuses on a process called selective area doping, in which a specific impurity is added to a semiconductor to change its electrical properties and achieve performance characteristics that are useful for electronics. Implemented well, this process can allow for the fabrication of devices at a competitive cost compared to their traditional, silicon-based counterparts. Developing a reliable and usable doping process that can be applied to specific regions of GaN and its alloys is an important obstacle in the fabrication of GaN-based power electronics devices that PNDIODES seeks to overcome. Ultimately, the PNDIODES project teams, including the Shahedipour-Sandvik team and Dr. Sung at SUNY Poly as well as the institution’s partners, will develop new ways to build semiconductors for high performance, high-powered applications like aerospace, electric vehicles, and the grid.

Prof. Shahedipour-Sandkvik team’s research, “Demonstration of PN-junctions by ion implantation techniques for GaN (DOPING-GaN),” will focus on ion implantation as the centerpiece of its approach and use new annealing techniques to develop processes to activate implanted silicon or magnesium in GaN to build p-n junctions, which are used to control the flow of electrons within an integrated circuit. Utilizing a unique technique with a gyrotron beam, a high-power vacuum tube that generates millimeter-wave electromagnetic waves, the team’s research aims to understand the impact of implantation on the microstructural properties of the GaN material and its effects on p-n diode performance.

In addition to this GaN-focused research being conducted by Prof. Shahedipour and her team at SUNY Poly, which also provides hands-on research opportunities for a number of the institution’s students, SUNY Poly and General Electric also lead the New York Power Electronics Manufacturing Consortium (NY-PEMC) with the goal of developing and producing low cost, high performance 6″ silicon carbide (SiC) wafers for power electronics applications. The consortium announced its first successful production of SiC-based patterned wafers in February at the Albany NanoTech Complex’s 150mm SiC line, with production coordinated with SUNY Poly’s Computer Chip Commercialization Center (Quad-C), located at its Utica campus where the SiC-based power chips will be packaged, a process that combines them with a housing that allows for interconnection with an application.

The semiconductor market in China continues to grow at a staggering speed. The current backbone of the electronics and telecom industry in China, semiconductor companies in China are driving innovation with new trends like spending on wafer fab equipment. China’s semiconductor consumption and overall semiconductor manufacturing has also seen rapid growth over the recent years. BizVibe predicts that China will overtake the US to become the leader in the global semiconductor market within the next five years.

BizVibe_Predicts_-_China_Will_Dominate_the_Global_Semiconductor_Market_in_the_Next_5_Years

BizVibe is home to over 55,000 Electronics & Telecoms companies around the world, including many in China. In a recent article titled China Sets to Dominate the Global Semiconductor Market, BizVibe closely examines what is driving growth for the semiconductor market in China.

BizVibe notes that, over the last ten years, both China’s semiconductor consumption and production revenues increased at a greater rate than worldwide revenues. From 2005 through 2015, China’s semiconductor industry grew at a ten-year compounded annual growth rate (CAGR) of 18.7%, while its semiconductor consumption grew at a rate of 14.3%, compared to the worldwide semiconductor market, which grew at a 4% CAGR.

One of the main reasons behind China’s growing semiconductor sector is attributed to the country’s rising wafer fab equipment spending trends over the last decade. Although China is expected to play an increasingly influential role in the global semiconductor market over the next few years, government incentives and market conditions still need improvement to allow for the further reduction in the consumption/production gap and long-range moderate growth.

Durcan_Mark_2400x3000_1_smlThe Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, announced Mark Durcan, former CEO of Micron Technology, Inc., and a longtime leader in advancing semiconductor technology, has been named the 2017 recipient of SIA’s highest honor, the Robert N. Noyce Award. SIA presents the Noyce Award annually in recognition of a leader who has made outstanding contributions to the semiconductor industry in technology or public policy. Durcan, who retired as Micron CEO on May 8, 2017, will accept the award at the SIA Annual Award Dinner on Tuesday, Nov. 14, 2017 in San Jose, an event that will also commemorate SIA’s 40th anniversary.

“Throughout his impressive career, Mark Durcan has demonstrated the best the semiconductor industry has to offer: hard work, ingenuity, and a relentless focus on promoting innovation,” said John Neuffer, president and CEO, Semiconductor Industry Association. “From his engineering roots to his recent work leading one of the world’s top manufacturers of memory products, Mark has strengthened our industry, advanced semiconductor technology, and reinforced America’s leadership of the global semiconductor market. On behalf of the SIA board of directors, it is a pleasure to announce Mark’s selection as the 2017 Robert N. Noyce Award recipient in honor of his outstanding accomplishments.”

A 30-year company veteran, Durcan rose from his first role as a Process Integration Engineer to Chief Technical Officer, President, and, ultimately, CEO in 2012. A key technical decision maker in bringing Micron’s next-generation technologies to market, Durcan expanded Micron’s global presence and enhanced its capabilities with strategic acquisitions, including Elpida (2012) and Rexchip (2012) and Inotera Memories, Inc. (2016). He also forged long-lasting partnerships with industry leaders such as Intel.

Durcan served as Chairman of the Micron Technology Foundation, Inc., which was formed to advance STEM education and support civic and charitable institutions in the communities in which Micron has facilities. He also currently serves on the board of directors for AmerisourceBergen Corp. and St. Luke’s Health System, a non-profit hospital system in Idaho. Durcan earned both bachelor’s and master’s degrees in chemical engineering from Rice University.

“It is a true honor to be selected for this award, and to join the ranks of its distinguished recipients, who are industry pioneers and icons,” said Durcan. “Nothing that I have accomplished during my career would have been possible without the influence of so many innovative and dedicated colleagues at Micron as well as our customers, suppliers, and partners. It is with sincere appreciation for their contributions to our industry that I gratefully accept this award.”

The Noyce Award is named in honor of semiconductor industry pioneer Robert N. Noyce, co-founder of Fairchild Semiconductor and Intel.