Category Archives: Metrology

The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $30.6 billion for the month of January 2017, an increase of 13.9 percent compared to the January 2016 total of $26.9 billion. Global sales in January were 1.2 percent lower than the December 2016 total of $31.0 billion, reflecting normal seasonal market trends. January marked the global market’s largest year-to-year growth since November 2010. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry is off to a strong and encouraging start to 2017, posting its highest-ever January sales and largest year-to-year sales increase in more than six years,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Sales into the China market increased by more than 20 percent year-to-year, and most other regional markets posted double-digit growth. Following the industry’s highest-ever revenue in 2016, the global market is well-positioned for a strong start to 2017.”

Year-to-year sales increased substantially across all regions: China (20.5 percent), the Americas (13.3 percent), Japan (12.3 percent), Asia Pacific/All Other (11.0 percent), and Europe (4.8 percent). Month-to-month sales increased in Europe (1.2 percent), but fell slightly in China (-0.2 percent), Japan (-1.6 percent), Asia Pacific/All Other (-1.6 percent), and the Americas (-3.1 percent).

January 2017

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

6.33

6.13

-3.1%

Europe

2.80

2.84

1.2%

Japan

2.84

2.79

-1.6%

China

10.17

10.15

-0.2%

Asia Pacific/All Other

8.86

8.72

-1.6%

Total

31.01

30.63

-1.2%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.41

6.13

13.3%

Europe

2.71

2.84

4.8%

Japan

2.49

2.79

12.3%

China

8.42

10.15

20.5%

Asia Pacific/All Other

7.86

8.72

11.0%

Total

26.89

30.63

13.9%

Three-Month-Moving Average Sales

Market

Aug/Sept/Oct

Nov/Dec/Jan

% Change

Americas

6.06

6.13

1.2%

Europe

2.82

2.84

0.7%

Japan

2.89

2.79

-3.2%

China

9.78

10.15

3.7%

Asia Pacific/All Other

8.88

8.72

-1.8%

Total

30.43

30.63

0.7%

 

Semiconductor manufacturing thought leaders will convene at the annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2017) on May 15-18 in Saratoga Springs, New York. The conference will feature 35 hours of technical presentations and over 100 experts addressing all aspects of advanced semiconductor manufacturing. This year’s event features a panel discussion on “The Next Big Thing: Technology Drivers for Next-Gen Manufacturing − Where will the Road take Us?” and a tutorial on Piezoelectric MEMS by Professor Gianluca Piazza, director of Nanofabrication Facility, Carnegie Mellon University.

SEMI‘s ASMC continues to provide a venue for industry professionals to network, learn and share knowledge on new and best-method semiconductor manufacturing practices and concepts.  The conference is co-chaired by Delphine LeCunff of STMicroelectronics and Russell Dover of Lam Research.  ASMC 2017 offers keynotes by Roberto Rapp, VP of Manufacturing at Robert Bosch GmbH; William Miller, VP of Engineering of Qualcomm; and Robert Maire, president of Semiconductor Advisors.

The topical areas that ASMC 2017 will address include:

  • 3D and Power Technologies
  • Advanced Equipment and Materials Processes
  • Advanced Metrology
  • Advanced Patterning
  • Advanced Process Control (APC)
  • Contamination Free Manufacturing (CFM)
  • Yield Management; Defect Inspection
  • Equipment Reliability and Productivity Enhancement
  • Factory Optimization

ASMC includes an interactive poster session and reception, which provides an ideal opportunity for networking between presenters and conference attendees.

The new ‘Women in Semiconductors‘ program takes place on May 15 in conjunction with ASMC 2017.  Sponsored by Applied Materials, GLOBALFOUNDRIES, IBM, Nikon and TEL, the program will focus on “The Power of Talk: Getting a Seat at the Table.”  Registration is complimentary for ASMC attendees.

ASMC 2017 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT). Corporate sponsors include: BisTEL, Edwards, GreeneTweed, KLA-Tencor, Mellor Consulting Group, Nikon, and Valqua America.

Registration for the SEMI Advanced Semiconductor Manufacturing Conference (ASMC) is available at www.semi.org/asmc.  For more information, contact Margaret Kindling at [email protected] or phone 1.202.393.5552. Qualified members of the media are invited to contact Deborah Geiger (SEMI Public Relations) at [email protected] for media registration information.

Survey results that will be posted in the March Update to the 20th anniversary 2017 edition of IC Insights’ McClean Report show that eleven companies are forecast to have semiconductor capital expenditure budgets greater than $1.0 billion in 2017, and account for 78% of total worldwide semiconductor industry capital spending this year (Figure 1). By comparison, there were eight companies in 2013 with capital spending in excess of $1.0 billion. As shown in the figure, three of the top 11 major capital spenders (Intel, GlobalFoundries, and ST) are forecast to increase their semiconductor spending outlays by 25% or more in 2017.

The biggest percentage increase in spending by a major spender in 2016 came from the China-based pure-play foundry SMIC, which ran its fabrication facilities at ≥95% utilization rate for much of last year. SMIC initially set its 2016 capital expenditure budget at $2.1 billion. However, in November, the company raised its spending budget to $2.6 billion, which resulted in outlays that were 87% greater than in 2015.

In contrast to the surge of spending at SMIC last year, the weak DRAM market spurred both Samsung and SK Hynix to reduce their total 2016 capital spending by 13% and 14%, respectively. Although their total outlays declined, both companies increased their spending for 3D NAND flash in 2016. As shown, Micron is forecast to cut its spending by 13% in 2017, even after including Inotera, which was acquired by Micron in December of last year.

In 2016, GlobalFoundries had plenty of capacity available. As a result, the company cut its capital expenditures by a steep 62%. As shown, the company is forecast to increase its spending this year by 33%, the second-largest increase expected among the major spenders (though its 2017 spending total is still expected to be about half of what the company spent in 2015). It is assumed that almost all of the spending increase this year will be targeted at installing advanced processing technology (the company announced that it is focusing its efforts on developing 7nm technology and will skip the 10nm node).

Figure 1

Figure 1

After spending about $1.06 billion last year, Sony is expected to drop out of the major spender listing in 2017 as it winds down its outlays for capacity additions for its image sensor business and its spending drops below $1.0 billion. As shown in Figure 1, ST is expected to replace Sony in the major spender listing this year by increasing its spending by 73% to $1.05 billion.  It should be noted that ST has stated that this surge in outlays is expected to be a one year event, after which it will revert back to limiting its capital spending to ≤10% of its sales.

Semiconductor Research Corporation (SRC) today announced that Taiwan Semiconductor Manufacturing Company, Ltd., (TSMC) has signed an agreement to participate in two SRC research initiatives. In addition to joining SRC’s New Science Team (NST) project, TSMC will be participating in the Global Research Collaboration (GRC) program. TSMC is the pioneer and global leader of the IC foundry business.

The NST project, consisting of both the JUMP and nCORE programs, is a 5-year, $300M research project focused on co-optimized hardware/software solutions for high performance, energy efficient microelectronics. SRC is actively recruiting a diverse group of electronics companies to participate on the NST project that will launch on January 1, 2018. GRC is SRC’s core program consisting of eleven research thrusts that span a wide array of research topics such as analog/mixed-signal, packaging, logic and memory devices, and nano-manufacturing materials and processes.

“SRC is pleased to welcome TSMC to our research consortium of leading semiconductor and technology companies. Today’s announcement represents a strategic partnership for the research and development of disruptive technologies that extend beyond traditional scaling,” said Ken Hansen, President & CEO of SRC. “As SRC continues to grow our global partnerships, one thing is certain, great things happen when we bring brilliant minds together! We look forward to the unique and broad perspective that TSMC can bring to SRC-sponsored research.”

“Our mission to forge a powerful innovation force in the semiconductor industry has led TSMC to this collaborative venture with SRC,” said Dr. Jack Sun, Vice President of Corporate Research and Chief Technology Officer, TSMC. “We believe the NST and GRC research programs exemplify collaborative research amongst industry leaders that will lead to fundamental discoveries upon which TSMC will develop into leading edge process and subsystem integration solution offerings. Together, we will expand semiconductor research and development in the pursuit of next-generation innovation.”

With the addition of TSMC, six of the top 10 global semiconductor companies are now members of SRC. Furthermore, this membership announcement signifies the fourth non-U.S. headquartered company to join SRC within the last 18 months.

KLA-Tencor Corporation (NASDAQ: KLAC) today introduced four innovative metrology systems that enable development and high-volume manufacturing of sub-10nm integrated circuit (IC) devices: the Archer 600 overlay metrology system, the WaferSight PWG2 patterned wafer geometry measurement system, the SpectraShape 10K optical critical dimension (CD) metrology system and the SensArray HighTemp 4mm in-situ temperature measurement system. These four new systems expand the capability of KLA-Tencor’s unique 5D Patterning Control Solution to support advanced patterning techniques, such as self-aligned quadruple patterning (SAQP) and extreme ultraviolet (EUV) lithography.

“Leading-edge device manufacturers are facing extremely tight patterning specifications,” said Oreste Donzella, chief marketing officer at KLA-Tencor. “To understand patterning errors, chipmakers need to quantify process variations, isolate their sources and fix the underlying issues. The new metrology systems announced today generate critical data that engineers can use to specify detailed scanner corrections in the lithography module and process improvements in etch, films and other modules. Our new overlay, patterned wafer geometry, optical critical dimension and in-situ temperature measurement systems serve key roles in driving 193i multi-patterning performance and baselining early EUV lithography results.”

The Archer 600 extends imaging-based overlay metrology technology with new optics and novel measurement targets, helping chipmakers achieve sub-3nm overlay error for advanced logic and memory devices. Innovative ProAIM™ targets deliver better resilience to process variations and improved correlation between target and device overlay errors, producing more accurate overlay measurements. The Archer 600’s new optical technologies, including a higher brightness light source and polarization module, enable tighter overlay error feedback and control across a range of process layers—from thin resists to opaque hard mask materials. With enhanced productivity, the Archer 600 supports increased sampling of overlay error for improved scanner corrections or identification of inline excursions. Multiple Archer 600 systems are already measuring the most advanced devices at foundry, logic and memory manufacturers worldwide.

The WaferSight PWG2 produces comprehensive wafer stress and shape uniformity data, enabling process tool monitoring and matching for film deposition, anneal, etch and other process modules. With significant productivity improvements, the WaferSight PWG2 promotes increased wafer sampling in production, helping chipmakers identify and fix process-induced wafer stress variations that can cause patterning and yield issues. The WaferSight PWG2’s wafer shape data can also be fed forward to the lithography scanner to address overlay errors due to wafer stress, a particular concern for 3D NAND devices, which utilize thick film stacks that can distort wafers. With industry-unique vertical wafer hold, the WaferSight PWG2 measures front and back wafer surfaces simultaneously, generating wafer flatness and topography metrics that can improve prediction and control of scanner focus. Several WaferSight PWG2 systems are installed at advanced IC manufacturers, where they are used in development for lithography control, and in high volume manufacturing to optimize and monitor a wide range of fab processes.

The SpectraShape 10K optical-based metrology system measures the CDs and three-dimensional shapes of complex IC device structures following etch, chemical mechanical planarization (CMP) and other process steps. To comprehensively characterize device structures, the SpectraShape 10K employs a diverse array of optical technologies, including new polarization capability and multiple incidence angles for the ellipsometer, and a new high brightness light source with TruNI™ illumination for the reflectometer. These technologies enable accurate measurement of the numerous critical parameters associated with FinFET and 3D NAND devices—such as CD, height, SiGe shape and channel hole bow profile. With higher throughput than its predecessor, the SpectraShape 10K facilitates the increased sampling required for tighter process control and the growth in number of process layers due to multi-patterning techniques. The SpectraShape 10K has been strongly adopted by foundries for FinFET and multi-patterning integration, with additional systems supporting advanced 3D NAND fabrication at all the leading memory manufacturers.

Through in-situ measurements, the SensArray HighTemp 4mm wireless wafer provides temporal and spatial temperature information for advanced films processes. With a thinner wafer profile than its predecessor, the SensArray HighTemp 4mm is compatible with a wider range of process tool types, including track, strip and physical vapor deposition (PVD) systems. With a temperature range of 20 – 400°C, the SensArray HighTemp 4mm enables process characterization and tool qualification by mapping thermal variations that can affect process windows and patterning performance. Multiple SensArray HighTemp 4mm wafers are in use at microprocessor, DRAM and 3D NAND manufacturers for tuning and routine process monitoring of films applications.

The Archer 600, WaferSight PWG2, SpectraShape 10K and SensArray HighTemp 4mm are integrated with KLA-Tencor’s 5D Analyzer® advanced data analysis system, which supports real-time process control and provides tools for engineering monitoring and analysis. To maintain the high performance and productivity demanded by IC manufacturing, the Archer 600, WaferSight PWG2, SpectraShape 10K and SensArray HighTemp 4mm are backed by KLA-Tencor’s global comprehensive service network. More information on the four new systems can be found on the 5D Patterning Control Solution web page.

IC Insights recently released its new Global Wafer Capacity 2017-2021 report that provides in-depth detail, analyses, and forecasts for IC industry capacity by wafer size, by process geometry, by region, and by product type through 2021.  Figure 1 splits the world’s installed monthly wafer production capacity by geographic region (or country) as of December 2016.  Each regional number is the total installed monthly capacity of fabs located in that region regardless of the headquarters location for the companies that own the fabs.  For example, the wafer capacity that South Korea-based Samsung has installed in the U.S. is counted in the North America capacity total, not in the South Korea capacity total.  The ROW “region” consists primarily of Singapore, Israel, and Malaysia, but also includes countries/regions such as Russia, Belarus, and Australia.

Figure 1

Figure 1

As shown, Taiwan led all regions/countries in wafer capacity with 21.3% share, a slight decrease from 21.7% in 2015 when the country first became the global wafer capacity leader.  Taiwan was only slightly ahead of South Korea, which was in second place.  The Global Wafer Capacity report shows that South Korea accounted for 20.9% of global wafer capacity in 2016, slightly more than the 20.5% share it held in 2015.  Two companies in Taiwan and two in South Korea accounted for the vast share of wafer fab capacity in each country.  In Taiwan, TSMC and UMC held 73% of the country’s capacity while in South Korea, Samsung and SK Hynix represented 93% of the IC wafer capacity installed in 2016.

Japan remained firmly in third place with just over 17% of global wafer fab capacity.  Micron’s purchase of Elpida several years ago and other recent major changes in manufacturing strategies of companies in Japan, including Panasonic spinning off some of its fabs into separate companies, means that the top two companies (Toshiba and Renesas) accounted for 64% of that country’s wafer fab capacity in 2016.

China showed the largest increase in global wafer capacity in 2016, rising 1.1 percentage points to 10.8% from 9.7% in 2015. China’s gained marketshare came mostly at the expense of North America’s share, which slipped 0.9 percentage points in 2016. With a lot of buzz circulating about new ventures and wafer fabs in China in the coming years, it will be interesting to watch how quickly China’s installed wafer capacity grows.  It is worth noting that China first became a larger wafer capacity holder than Europe in 2010.  The two companies with the largest portion of wafer fab capacity in China were SMIC and HuaHong Grace (including shares from joint ventures).

In total, the top five wafer capacity leaders accounted for more than half of the IC industry’s wafer fab capacity, having increased from 2009, when the top five wafer capacity leaders accounted for approximately a third of global capacity.

North America-based manufacturers of semiconductor equipment posted $1.86 billion in billings worldwide in January 2017 (three-month average basis), according to the January Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

SEMI reports that the three-month average of worldwide billings of North American equipment manufacturers in January 2017 was $1.86 billion. The billings figure is 0.5 percent lower than the final December 2016 level of $1.87 billion, and is 52.3 percent higher than the January 2016 billings level of $1.22 billion.

“Global billings reported by the North American equipment makers begin the New Year at high levels,” said Denny McGuirk, president and CEO of SEMI. “We expect strong spending growth in 2017 based on investments in leading-edge memory and foundry fabs.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)

Year-Over-Year

August 2016

$1,709.0

8.4%

September 2016

$1,493.3

-0.1%

October 2016

$1,630.4

20.0%

November 2016

$1,613.3

25.2%

December 2016 (final)

$1,869.8

38.5%

January 2017 (prelim)

$1,860.3

52.3%

Source: SEMI (www.semi.org), February 2017

 

SEMI ceased publishing the monthly North America Book-to-Bill report in January 2017.  The decision to discontinue the Book-to-Bill report was based on changes in reporting by some participants where the reporting of orders/bookings into the data collection program is no longer considered a necessary component of their industry analysis.

SEMI will continue publish a monthly North American Billings report and issue the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. Beginning with the January 2017 WWSEMS report, bookings information will only be available for the back-end equipment segments of the industry.

GlobalFoundries_Ajit_ManochSEMI, the global association connecting and representing the worldwide electronics manufacturing supply chain, today announced the appointment of Ajit Manocha as its president and CEO. He will succeed Denny McGuirk, who announced his intention to retire last October. The SEMI International Board of Directors conducted a comprehensive search process, selecting Manocha, an industry leader with over 35 years of global experience in the semiconductor industry.  Manocha will begin his new role on March 1 at SEMI’s new Milpitas headquarter offices.

“Ajit has a deep understanding of our industry’s dynamics and the interdependence of the electronics manufacturing supply chain,” said Y.H. Lee, chairman of SEMI’s board of directors. “From his early days developing dry etch processes at AT&T Bell Labs, to running global manufacturing for Philips/NXP, Spansion, and, as CEO of GLOBALFOUNDRIES, Ajit has been formative to our industry’s growth. Ajit is the ideal choice to drive our SEMI 2020 plan and beyond, ensuring that SEMI provides industry stewardship and engages its members to advance the interests of the global electronics manufacturing supply chain.”

“Beyond his experience leading some of our industry’s top fabs, Ajit has long been active at SEMI and has served on boards of several global associations and consortia,” said Denny McGuirk, retiring president and CEO of SEMI. “Ajit’s experience in technology, manufacturing, and industry stewardship is a powerful combination. I’m very excited to be passing the baton to Ajit as he will continue to advance the growth and prosperity of SEMI’s members.”

“I have tremendous respect for the work SEMI does on behalf of the industry,” said Ajit Manocha, incoming president and CEO of SEMI. “I am excited to be joining SEMI at a time when our ecosystem is rapidly expanding due to extensive innovation on several fronts.  From applications based on the Internet and the growth of mobile devices to artificial intelligence/machine learning, autonomous vehicles, and the Internet of Things, there is a much broader scope for SEMI to foster heterogeneous collaboration and fuel growth today than ever before.  I am looking forward to leading the global SEMI organization as we strive to maximize value for our members across this extended global ecosystem.”

Manocha was formerly CEO at GLOBALFOUNDRIES, during which he also served as vice chairman and chairman of the Semiconductor Industry Association (SIA).  Earlier, Manocha served as EVP of worldwide operations at Spansion. Prior to Spansion, he was EVP and chief manufacturing officer at Philips/NXP Semiconductors. Manocha also held senior management positions within AT&T Microelectronics. He began his career at AT&T Bell Laboratories as a research scientist where he was granted several patents related to microelectronics manufacturing. Manocha holds a bachelor’s degree from the University of Delhi and a master’s degree in physical chemistry from Kansas State University.

Mohamed Saleem has joined Brooks Instrument as the company’s new chief technology officer (CTO), where he will oversee its California-based technology development center. Brooks Instrument is a provider of precision fluid measurement and control technology for the semiconductor, industrial and life science industries.

“We’re pleased to have Saleem as our new CTO,” said Vice President and General Manager, Sharon Szafranski. “He will play an integral role in establishing our technical vision, driving advanced technology development, and providing a strategic focus on new and disruptive technology and solutions.”

“Brooks Instrument has a long legacy in fluid measurement and control,” said Saleem. “I look forward to working with our engineering group and our leadership team and the technical community to enhance and develop new products for our key market segments and to grow into new markets.”

Saleem has more than 20 years of experience working with leading companies in the semiconductor industry. Most recently, he was vice president of engineering and business development at Fujikin of America, and a member of their board of directors.

He holds a bachelor’s degree in chemical engineering from the National Institute of Technology in India; a master’s degree in chemical engineering from Tufts University; and a Ph.D. in materials science and engineering from the University of Florida. In addition, Saleem is active in several SEMI industry technical groups and has published and co-authored numerous technical papers in semiconductor-related journals.

Intel continued to top all other chip companies in R&D expenditures in 2016 with spending that reached $12.7 billion and represented 22.4% of its semiconductor sales last year.  Intel accounted for 36% of the top-10 R&D spending and about 23% of the $56.5 billion total worldwide semiconductor R&D expenditures in 2016, according to the 20th anniversary 2017 edition of The McClean Report that was released in January 2017.  Figure 1 shows IC Insights’ ranking of the top semiconductor R&D spenders based on semiconductor manufacturers and fabless suppliers with $1 billion or more spent on R&D in 2016.

Figure 1

Figure 1

Intel’s R&D spending is lofty and exceeded the combined R&D spending of the next three companies on the list. However, the company’s R&D expenditures increased 5% in 2016, below its 9% average increase in spending per year since 2011 and less than its 8% annual growth rate since 2001, according to the new report.

Underscoring the growing cost of developing new IC technologies, Intel’s R&D-to-sales ratio has climbed significantly over the past 20 years.  In 2010, Intel’s R&D spending as a percent of sales was 16.4%, compared to 22.4% in 2016. Intel’s R&D-to-sales ratios were 14.5% in 2005, 16.0% in 2000, and just 9.3% in 1995.

Among other top-10 R&D spenders, Qualcomm—the industry’s largest fabless IC supplier—remained the second-largest R&D spender, a position it first achieved in 2012.  Qualcomm’s semiconductor-related R&D spending was down 7% in 2016 compared to an adjusted total in 2015 that included expenditures by U.K.-based CSR and Ikanos Communications in Silicon Valley, which were acquired in 2015.  Broadcom Limited—which is the new name of Avago Technologies after it completed its $37 billion acquisition of U.S-based Broadcom Corporation in early 2016—was third in the R&D ranking. Excluding Broadcom’s expenditures in 2015, Avago by itself was ranked 13th in R&D spending that year (at nearly $1.1 billion).

Memory IC leader Samsung was ranked fourth in R&D spending in 2016 with expenditures increasing 11% from 2015. Among the $1 billion-plus “R&D club,” the South Korean company had the lowest investment-intensity level with 6.5% of its total semiconductor revenues going to chip-related research and development in 2016, which was up from just 6.2% in 2015.

Toshiba in Japan moved up two positions to fifth as it aimed its R&D spending at 3D NAND flash memories.  Foundry giant Taiwan Semiconductor Manufacturing Co. (TSMC) was sixth with a 7% increase in 2016 R&D spending, followed by fabless IC supplier MediaTek in Taiwan, which moved up one position to seventh with 13% growth in R&D expenditures. U.S.-based memory supplier Micron Technology advanced from ninth to eighth in the ranking with its research and development spending rising 5% in 2016.

Rounding out the top 10, NXP in Europe was ninth in 2016, slipping from sixth in 2015 and SK Hynix grew its R&D spending 9% to complete the list.   Fabless Nvidia just missed the cut with a 10% increase in expenditures for research and development.

Semiconductor consolidation played a factor in industry R&D spending rising just 1% in 2016 to a record-high $56.5 billion after a 1% increase in 2015 to $56.2 billion.  The slowdown in industry-wide R&D spending growth also corresponded with weakness in worldwide semiconductor sales, which declined 1% in 2015 and then recovered with a low single-digit increase in 2016.