Category Archives: Metrology

From the ground-breaking research breakthroughs to the shifting supplier landscape, these are the stories the Solid State Technology audience read the most during 2016.

#1: Moore’s Law did indeed stop at 28nm

In this follow up, Zvi Or-Bach, president and CEO, MonolithIC 3D, Inc., writes: “As we have predicted two and a half years back, the industry is bifurcating, and just a few products pursue scaling to 7nm while the majority of designs stay on 28nm or older nodes.”

#2: Yield and cost challenges at 16nm and beyond

In February, KLA-Tencor’s Robert Cappel and Cathy Perry-Sullivan wrote of a new 5D solution which utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

#3: EUVL: Taking it down to 5nm

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years, SEMI’s Deb Vogler reported in May.

#4: IBM scientists achieve storage memory breakthrough

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM).

#5: ams breaks ground on NY wafer fab

In April, ams AG took a step forward in its long-term strategy of increasing manufacturing capacity for its high-performance sensors and sensor solution integrated circuits (ICs), holding a groundbreaking event at the site of its new wafer fabrication plant in Utica, New York.

#6: Foundries takeover 200mm fab capacity by 2018

In January, Christian Dieseldorff of SEMI wrote that a recent Global Fab Outlook report reveals a change in the landscape for 200mm fab capacity.

#7: Equipment spending up: 19 new fabs and lines to start construction

While semiconductor fab equipment spending was off to a slow start in 2016, it was expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

#8: How finFETs ended the service contract of silicide process

Arabinda Daa, TechInsights, provided a look into how the silicide process has evolved over the years, trying to cope with the progress in scaling technology and why it could no longer be of service to finFET devices.

#9: Five suppliers to hold 41% of global semiconductor marketshare in 2016

In December, IC Insights reported that two years of busy M&A activity had boosted marketshare among top suppliers.

#10: Countdown to Node 5: Moving beyond FinFETs

A forum of industry experts at SEMICON West 2016 discussed the challenges associated with getting from node 10 — which seems set for HVM — to nodes 7 and 5.

BONUS: Most Watched Webcast of 2016: View On Demand Now

IoT Device Trends and Challenges

Presenters: Rajeev Rajan, GLOBALFOUNDRIES, and Uday Tennety, GE Digital

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology.

Also, manufacturers seek increased visibility and better insights into the performance of their equipment and assets to minimize failures and reduce downtime. They wish to both cut their costs as well as grow their profits for the organization while ensuring safety for employees, the general public and the environment.

The Industrial Internet is transforming the way people and machines interact by using data and analytics in new ways to drive efficiency gains, accelerate productivity and achieve overall operational excellence. The advent of networked machines with embedded sensors and advanced analytics tools has greatly influenced the industrial ecosystem.

Today, the Industrial Internet allows you to combine data from the equipment sensors, operational data , and analytics to deliver valuable new insights that were never before possible. The results of these powerful analytic insights can be revolutionary for your business by transforming your technological infrastructure, helping reduce unplanned downtime, improve performance and maximize profitability and efficiency.

Weisl-AndreasAndreas Weisl (38), former Vice President Europe of Korean LED manufacturer Seoul Semiconductor (SSC), has taken on the position of CEO at Seoul Semiconductor Europe GmbH based Munich, Germany, with effect from November 11, 2016.

The European headquarters has been consistently successful, establishing themselves since 2010. The global success story of SSC, which is marked by rapid growth, has been successfully implemented in Europe for many years now. SSC is among the leading companies in global markets and throughout the European LED market.

In his role as General Manager for Central and Northern Europe since 2010, and as Vice President Europe since 2014, Mr. Weisl is part of the SSC executive and is responsible for business developments in Europe. Mr Weisl has contributed significantly to the company’s success and looks back on more than eleven years of experience in the area of LEDs before coming to SSC in 2010. Previously he served as a manager, among other roles, at Osram Opto Semiconductors.

By Christian G. Dieseldorff, Industry Research & Statistics Group at SEMI 

Data from SEMI’s recently updated World Fab Forecast report reveal that 62 new Front End facilities will begin operation between 2017 and 2020.  This includes facilities and lines ranging from R&D to high volume fabs, which begin operation before high volume ramp commences.  Most of these newly operating facilities will be volume fabs; only 7 are R&Ds or Pilot facilities.

Between 2017 and 2020, China will see 26 facilities and lines beginning operation, about 42 percent of the worldwide total currently tracked by SEMI.  The majority of the facilities starting operation in 2018 are Chinese-owned companies. The peak for China in 2018 comes mainly from foundry facilities (54 percent). The Americas region follows with 10 facilities, and Taiwan with 9 facilities. See Figure 1.

Figure 1 depicts the regions in which new facilities will begin operation.

Figure 1 depicts the regions in which new facilities will begin operation.

By product type, the forecast for new facilities and lines include: 20 (32 percent) are forecast to be foundries, followed by 13 Memory (21 percent), seven LED (11 percent), six Power (10 percent) and five MEMS (8 percent). See Figure 2

Figure 2: New facilities & lines starting operation by product type from 2017 to 2020

Figure 2: New facilities & lines starting operation by product type from 2017 to 2020

Because the forecast extends several years, it includes facilities and lines of all probabilities, including rumored projects and projects which have been announced, but have a low probability of actually happening.  See Table 1.

FabForecast-table1

 

Probabilities of less than 50 percent are considered unconfirmed, while a probability of 80 to 85 percent means that the facility is currently in construction mode.  Projects with 90 percent probability are currently equipping. As the forecast gets farther out, more of the projects have lower probabilities.

The projects under construction, or soon to be under construction, will be key drivers in equipment spending for this industry over the next several years — with China expected to be the key spending market.

SEMI’s World Fab Forecast provides detailed information about each of these fab projects, such as milestone dates, spending, technology node, products, and capacity information. Since the last publication in August 2016, the research team has made 249 changes on 222 facilities/lines.

The World Fab Forecast Report, in Excel format, tracks spending and capacities for over 1,100 facilities including future facilities across industry segments from Analog, Power, Logic, MPU, Memory, and Foundry to MEMS and LEDs facilities.  Using a bottoms-up approach methodology, the SEMI Fab Forecast provides high-level summaries and graphs, and in-depth analyses of capital expenditures, capacities, technology and products by fab.

The SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses.  The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. Also check out the Opto/LED Fab Forecast.

Learn more about the SEMI fab databases at: www.semi.org/en/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats.

IC Insights has just released its new Global Wafer Capacity 2017-2021—Detailed Analysis and Forecast of the IC Industry’s Wafer Fab Capacity report.  Shown below is a brief excerpt from that report.

Prior to 2008, the 200mm wafer was used in more cases for manufacturing ICs than any other wafer size.  However, since 2008, the majority of IC fabrication has taken place on 300mm wafers.  Rankings of IC manufacturers by installed capacity for each of the wafer sizes are shown in Figure 1.  The chart also compares in a relative manner the amounts of capacity held by the top 10 leaders.

installed capacity

Figure 1

Looking at the ranking for 300mm wafers, it is not surprising that the list includes only DRAM and NAND flash memory suppliers like Samsung, Micron, SK Hynix, and Toshiba/Western Digital; the world’s five largest pure-play foundries TSMC, GlobalFoundries, UMC, Powerchip, and SMIC; and Intel, the industry’s biggest IC manufacturer (in terms of revenue). These companies offer the types of ICs that benefit most from using the largest wafer size available to best amortize the manufacturing cost per die, and have the means to continue investing large sums of money in new and improved 300mm fab capacity.

The leaders in the 200mm size category consist of pure-play foundries and manufacturers of analog/mixed-signal ICs and microcontrollers.

The ranking for the smaller wafer sizes (i.e., ≤150mm) includes a more diversified group of companies. STMicroelectronics has a huge amount of 150mm wafer capacity at its fab site in Singapore, but the company has been busy converting this production to 200mm wafers. Another STMicroelectronics 150mm fab in Catania, Italy, is also undergoing a conversion to 200mm wafers, with plans for that project to be completed in 2017.

A significant trend regarding the industry’s IC manufacturing base, and a challenging one from the perspective of companies that supply equipment and materials to chip makers, is that as the industry moves IC fabrication onto larger wafers in bigger fabs, the group of IC manufacturers continues to shrink in number (Figure 2).

Today, there are less than half the number of companies that own and operate 300mm wafer fabs than 200mm fabs. Moreover, the distribution of worldwide 300mm wafer capacity among those manufacturers is becoming increasingly top-heavy.

installed capacity 2

Figure 2

 

North America-based manufacturers of semiconductor equipment posted $1.55 billion in orders worldwide in November 2016 (three-month average basis) and a book-to-bill ratio of 0.96, according to the November Equipment Market Data Subscription (EMDS) Book-to-Bill Report published today by SEMI.  A book-to-bill of 0.96 means that $96 worth of orders were received for every $100 of product billed for the month.

SEMI reports that the three-month average of worldwide bookings in November 2016 was $1.55 billion. The bookings figure is 4.0 percent higher than the final October 2016 level of $1.49 billion, and is 25.1 percent higher than the November 2015 order level of $1.24 billion.

The three-month average of worldwide billings in November 2016 was $1.61 billion. The billings figure is 1.1 percent lower than the final October 2016 level of $1.63 billion, and is 25.2 percent higher than the November 2015 billings level of $1.29 billion.

“As 2016 comes towards a close, equipment spending is stronger than expected at the start of the year,” said Dan Tracy, senior director, SEMI. “Spending has been driven by 3D NAND, leading-edge foundry, and advanced packaging investments, and these segments are key for the expected spending growth in 2017.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

June 2016

$1,715.2

$1,714.3

1.00

July 2016

$1,707.9

$1,795.4

1.05

August 2016

$1,709.0

$1,753.4

1.03

September 2016

$1,493.3

$1,567.2

1.05

October 2016 (final)

$1,630.4

$1,488.4

0.91

November 2016 (prelim)

$1,613.2

$1,547.2

0.96

Source: SEMI (www.semi.org), December 2016

SEMI this week announced that Toshio Maruyama has been selected as the 2017 recipient of the SEMI Sales and Marketing Excellence Award, inspired by Bob Graham. He will be honored for outstanding achievement in semiconductor equipment and materials marketing during ceremonies at SEMICON Japan on December 14 in Tokyo.

Toshio Maruyama

Toshio Maruyama, senior executive advisor at Advantest, was selected to receive the 20th SEMI Sales and Marketing Excellence Award for his contributions and leadership in pioneering the marketing of reliability in semiconductor Automated Test Equipment (ATE), as a key product advantage. Maruyama faced entrenched highly regarded competition. However, he relentlessly pursued and marketed a more reliable (higher yield) ATE system.  This drove SEMI members to improve reliability, which has been crucial to the growth of the industry. By making ATE reliability a differentiator, he set a precedent. His contribution has benefited the semiconductor industry as a whole.

SEMI also recognizes Maruyama for the value of his long service in industry organizations such as IEEE, SEAJ and SEMI, in addition to his long-held goal to promote harmony in the semiconductor industry so customers and suppliers could focus on advancing technology without global trade and political disruption. Maruyama served on the SEMI Board of Directors from 2008 to 2016.

“Maruyama is recognized both for his innovative marketing leadership and his steadfast commitment to guiding industry associations. Today, SEMI and its membership recognize Maruyama-san for his contributions to the success of our industry,” said Denny McGuirk, president and CEO of SEMI.

The SEMI Sales and Marketing Excellence Award was inspired by the late Bob Graham, the distinguished semiconductor industry leader who was part of the founding team of Intel and who helped establish industry-leading companies such as Applied Materials and Novellus Systems. The Award was established to honor individuals for the creation and/or implementation of marketing programs that enhance customer satisfaction and further the growth of the semiconductor equipment and materials industry.

Eligible candidates are nominated by their industry peers and are selected after due diligence by an award committee. Previous recipients of this SEMI award include: Jim Bowen (2016), Terry (Tetsuro) Higashi (2015), Winfried Kaiser (2014), Joung Cho (JC) Kim (2013), G. Dan Hutcheson (2012), Franz Janker (2011), Martin van den Brink (2010), Peter Hanley (2009), Richard Hong (2008), Richard E. Dyck (2007), Aubrey (Bill) C. Tobey (2006), Archie Hwang (2005), Edward Braun (2004), Shigeru (Steve) Nakayama (2003), Jerry Hutcheson and Ed Segal (2002), Jim Healy and Barry Rapozo (2001), and Art Zafiropoulo (2000).

SEMI today announced the lineup for six forums at SEMICON China and FPD China held at the Shanghai New International Expo Centre on March 14-16, 2017. SEMICON China is the largest and most important gathering of the semiconductor supply chain in China.

SEMICON China will feature six forums, including a new forum:

  • NEW: Automotive Forum: From self-parking to anticipatory braking, semiconductors are critical for cars’ safety, communication, navigation, and entertainment. Increasingly adoption of ADAS applications and other driver assistance technologies will be discussed.
  • China IC Industry Forum: A four-day forum that includes: China Semiconductor Technology International Conference (CSTIC, March 12-13); China Memory Strategic Forum; Building China’s IC Ecosystem: Advanced Manufacturing, Assembly & Test (morning) and Equipment and Materials (afternoon).
  • Power and Compound Semiconductor Forum’s four sessions, including: LED & Optoelectronics, Wide Band Gap (WBG) Power Electronics, Compound Semiconductor in Communications, and Emerging Power Device Technology.
  • Tech Investment Forum: An international platform to explore investment, M&A, and China opportunities. Speakers include executives from: China’s National IC Fund and municipal IC funds, and the global leading investment institutions.
  • Emerging Display Forum: Co-organized by SEMI and SID and concurrent with FPD China 2017, the forum is an exchange of knowledge on emerging display technologies and future development of the display, including: Flexible Displays, Evolving Equipment and Materials, and OLED and “MicroLED.”
  • IoT Forum: MSIG Conference ─ Creating and Capturing Value in the MEMS and Sensors Ecosystem (Kerry Hotel Pudong, Shanghai): Presented by SEMI’s MEMS & Sensors Industry Group (MSIG), speakers will highlight areas of opportunity for products “on the cusp” of commercialization. The conference will also address the convergence of MEMS/sensors and semiconductors.

Further information on sessions and events at SEMICON and FPD China 2017, please visit www.semiconchina.org/en/54.

SEMICON China also features six exhibition floor theme pavilions: IC Manufacturing, LED and Sapphire, Semiconductor Materials, MEMS, Touch (Panel Display), and OLED.

Register now for SEMICON China 2017 (www.semiconchina.org/en).

Today, SEMI updated the World Fab Forecast report revealing that 62 new Front End facilities are expected to begin operation between 2017 and 2020. The report has been the industry’s trusted data source for 24 years ─ observing and analyzing spending, capacity, and technology changes for all front-end facilities worldwide.

The 62 facilities and lines range from R&D to high-volume fabs.  Most of the newly operating facilities will be volume fabs; only seven are R&Ds or Pilot facilities.

Between 2017 and 2020, 26 facilities and lines begin operation in China, about 42 percent of the worldwide total currently tracked by SEMI.  The Americas region follows with 10 facilities, and Taiwan with 9 facilities.

Fab-Dec-2016

By product type, 32 percent are foundries, 21 percent are Memory, 11 percent LED, then Power, MEMS, Logic, Analog, and Opto, in decreasing order.

Between 2017 and 2020, the World Fab Forecast indicates that five facilities are unconfirmed, 10 are planned, 11 are announced, 26 are in construction and 10 are equipping. These numbers include facilities and lines of all probabilities, including unconfirmed projects and projects which have been announced, but may have a low probability of completion.

The projects under construction, or soon to be under construction, will be key drivers in equipment spending for this industry over the next several years — with China expected to be the key spending market.

SEMI’s World Fab Forecast provides detailed information about each of these fab projects, such as milestone dates, spending, technology node, products, and capacity information. Since the last publication in August 2016, the research team has made 249 changes on 222 facilities/lines. The report, in Excel format, tracks spending and capacities for over 1,100 facilities, using a bottoms-up approach methodology, and provides high-level summaries and graphs, with in-depth analyses of capital expenditures, capacities, technology and products by fab. The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment, while the SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses; also check out the Opto/LED Fab Forecast. Learn more about the SEMI fab databases at: www.semi.org/en/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats.

SEMI, the global industry association representing more than 2,000 companies in the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 8.7 percent to $39.7 billion in 2016, according to the SEMI Year-end Forecast, released today at the annual SEMICON Japan exposition.  In 2017, another 9.3 percent growth is expected, resulting in a global semiconductor equipment market totaling $43.4 billion.

The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to increase 8.2 percent in 2016 to total $31.2 billion. The assembly and packaging equipment segment is projected to grow by 14.6 percent to $2.9 billion in 2016 while semiconductor test equipment is forecast to increase by 16.0 percent, to a total of $3.9 billion this year.

For 2016, Taiwan and South Korea are projected to remain the largest spending regions, with China joining the top three for the first time. Rest of World (essentially Southeast Asia), will lead in growth with 87.7 percent, followed by China at 36.6 percent and Taiwan at 16.8 percent.

SEMI forecasts that in 2017, equipment sales in Europe will climb the most, 51.7 percent, to a total of $2.8 billion, following a 10.0 percent contraction in 2016. In 2017, Taiwan, Korea and China are forecast to remain the top three markets, with Taiwan maintaining the top spot even with a 9.2 percent decline to total $10.2 billion. Equipment sales to Korea are forecast at $9.7 billion, while equipment sales to China are expected to reach $7.0 billion.

The following results are given in terms of market size in billions of U.S. dollars:

2016-year-end

SEMICON Japan 2016, the largest and most influential event for the electronics manufacturing supply chain in Japan, opens tomorrow at Tokyo Big Sight. The exposition and conference offers the latest in technology and innovations for the electronics industry, including emerging opportunities in the Internet of Things (IoT). This year is the 40th anniversary of SEMICON Japan (December 14-16) and registration is now open for both the exhibition and conference programs.

SEMICON Japan 2016 connects the players and companies across the electronics supply chain, and in a newly expanded “show-within-a-show”, to the World of IoT. Japan is uniquely positioned for the IoT revolution with its large 200mm fab capacity, diverse IC product mix and leadership in markets such as MCUs, automotive, power devices, MEMS, sensors and LEDs.

The SuperTHEATER at SEMICON Japan offers nine forums in three days:

  • Opening “Into the Future” Keynotes, with Dario Gil, IBM Research, “The Cognitive Era and the New Frontiers of Information Technology” and Yoichi Ochiai, University of Tsukuba, “The Age of Enchantment”
  • Semiconductor Executive Forum, “The Creation of New Business Opportunities,” with executives from Murata Manufacturing, Toshiba, and TSMC
  • SEMI Market Forum, “The Electronics Manufacturing Supply Chain,” with  presenters from Edwards Japan, IHS Markit, VLSI Research, and SEMI
  • Autonomous and Connected Car Forum, “Automated Driving and Safety Control,” with Advantest, Honda, NVIDIA, and Renesas Electronics
  • Industrial IoT Forum, “Competition in Manufacturing Industry Digitizes,” with speakers from FANUC, GE International, and Siemens Japan
  • IoT Innovation Forum, “Semiconductor Devices for IoT,” with Analog Devices, Infineon Technologies, and ASE Group Kaohsiung
  • Manufacturing Innovation Forum, “Breakthrough Technologies for the Future,” with Canon, Lam Research, Tokyo Electron, and VAT Group
  • Technology Trends Forum, “The Tokyo 2020 Olympics: Innovation for All,” with representatives from the Japanese Cabinet, Japan National Institute of Public Health, and Panasonic
  • U.S. Commercial Service IT Forum, “Cyber Security for the Manufacturing Industry,” with Cisco Systems, Darktrace Japan, Hitachi America, McAfee (Intel Security Group), and Palo Alto Networks

In addition, theme pavilions ─ including Sustainable Manufacturing Pavilion, providing solutions focused on sustainability for 200mm technologies, and the Manufacturing Innovation Pavilion, with exhibits on innovations for developing higher performing, faster and lower-cost semiconductor devices ─ are in East  Hall 1.

Showcasing start-up pitch presentations, exhibition booths, and meet-ups, 33 early-stage companies will convene at INNOVATION VILLAGE to connect with investors and prospective technology partners.

Register now for SEMICON Japan. For a detailed agenda, please see the “SEMICON Japan Schedule-at-a-Glance.”