Category Archives: Metrology

Intel Corporation this week recognized 26 companies with its 2015 Preferred Quality Supplier (PQS) award, which celebrates exceptional performance and continuous pursuit of excellence. The 2015 recipients exhibited extraordinary achievements across key focus areas of quality, cost, availability, technology, customer service, labor and ethics systems, and environmental sustainability.

Along with the distinguished PQS award, Intel recognized one supplier with the Supplier Achievement Award, which is a specific recognition for outstanding accomplishments in one or more key performance areas. The company also presented eight companies with its highest honor, the Supplier Continuous Quality Improvement (SCQI) award.

Award winners will be honored in a ceremony last night in Santa Clara, California. The theme of the ceremony is “Delivering the Future Together” as this dedicated group of suppliers has helped Intel push the boundaries of smart and connected technology and brings innovative products to market quickly.

“Intel is honored to recognize our Preferred Quality Suppliers for their sustained excellence in 2015 to deliver leading-edge technology with world-class cost, velocity and sustainability,” said Robert Bruck, corporate vice president and general manager of Global Supply Management at Intel. “Close collaboration and superb execution by these suppliers remains one of the crucial factors in enabling Intel to extend our industry-leading silicon, packaging and test technologies, and is a clear demonstration of leadership in their respective markets.”

“The winners of the Preferred Quality Supplier and Achievement Award are an integral part of Intel’s success,” added Jacklyn Sturm, vice president, Technology and Manufacturing Group and general manager of Global Supply Management at Intel. “The absolute focus and rigorous attention to continuous improvement and time-to-market innovation are a testament to their world-class support, providing Intel with a critical part of the foundation to be a leader in computing innovations.”

The PQS award is part of Intel’s Supplier Continuous Quality Improvement (SCQI) program, which encourages suppliers to innovate and continually improve. To qualify for PQS status, suppliers must exceed high expectations and uncompromising performance goals while scoring at least 80 percent on an integrated report card that assesses performance throughout the year. Suppliers must also achieve 80 percent or greater on a challenging continuous improvement plan and demonstrate solid quality and business systems.

Additional information about the SCQI program is available at www.intel.com/go/quality.

The PQS winners provide Intel with the following products or services:

  • Amkor Technology Inc.: semiconductor advanced packaging design, assembly and test services
  • ASM International: front-end equipment supplier for atomic layer deposit (ALD), plasma-enhanced ALD, metal gate and diffusion
  • Daewon Semiconductor Packaging Industrial Co. Ltd.: plastic injection molded tray (PIMT) media for bare die automation, substrate transport, device assembly and test, final shipping and storage, bare die tape and reel (BDTR) media for bare die transport
  • Daifuku: automated material handling systems
  • DISCO Corporation: precision cutting, grinding and polishing machines
  • EBARA Corporation: chemical mechanical polishers, plating systems, and dry vacuum pumps and abatement systems
  • Edwards Vacuum LLC: vacuum system products and abatement solutions
  • Fujimi Corporation: chemical mechanical planarization and silicon polishing slurries
  • Hitachi High-Technologies Corporation: dry etching, ashing, metrology and advanced packaging systems
  • Hitachi Kokusai Electric Inc.: batch processing and single wafer processing systems
  • JLL: facilities management
  • KLA-Tencor Corporation: process control and yield management solutions
  • Lam Research Corporation: fab capital equipment
  • Mitsubishi Gas Chemical Company Inc.: high-purity peroxide and custom back-end cleans
  • ModusLink Global Solutions Inc.: channel box CPU for Penang, Shanghai and Miami, and finished goods warehouse distribution for Miami
  • Murata Machinery Ltd.: automated material handling systems, hoist vehicles and stockers
  • The PEER Group Inc.: automation software and services
  • SCREEN Semiconductor Solutions Co. Ltd.: wafer cleaning and anneal equipment and services for semiconductor manufacturing
  • Shin Etsu Chemical Co., Ltd: silicon wafers, advanced photoresists, photomask blanks, and thermal conductive materials.
  • Shinko Electric Industries Co. Ltd.: plastic laminated packages and heat spreaders
  • Siltronic AG: polished and epitaxial silicon wafers
  • Tokyo Ohka Kogyo Co. Ltd: high-purity photo resists, developers, cleaning solutions and supporting chemistries
  • Tosoh SMD, Inc.: sputtering targets
  • Tosoh Quartz Inc.: quartzware for semiconductor wafer processing equipment
  • VWR: products, services and solutions to laboratory and production facilities
  • Veolia North America: waste management services

The Supplier Achievement Award winner is:

  • Nanium: outsourced semiconductor packaging, assembly and test provider (recognizing extraordinary results in product availability)

IC Insights recently released its new Global Wafer Capacity 2016-2020 report that provides in-depth detail, analyses, and forecasts for IC industry capacity by wafer size, by process geometry, by region, and by product type through 2020.  Figure 1 breaks down the world’s installed monthly wafer production capacity by geographic region (or country) as of December 2015.  Each regional number is the total installed monthly capacity of fabs located in that region regardless of the headquarters location for the companies that own the fabs.  For example, the wafer capacity that South Korea-based Samsung has installed in the U.S. is counted in the North America capacity total, not in the South Korea capacity total.  The ROW region consists primarily of Singapore, Israel, and Malaysia, but also includes countries/regions such as Russia, Belarus, Australia, and South America.

Figure 1

Figure 1

Some highlights of regional IC capacity by wafer size are shown below.

As of Dec-2015, Taiwan led all regions/countries in wafer capacity with nearly 22% of worldwide IC capacity installed in the country.  Taiwan surpassed South Korea in 2015 to become the largest capacity holder after having passed Japan in 2011.  China became a larger wafer capacity holder than Europe for the first time in 2010.

For wafers 150mm in diameter and smaller, Japan was the top region in terms of the amount of capacity.  The fabs running small size wafers tend to be older and typically process low-complexity, commodity type products or specialized devices.

The capacity leaders for 200mm wafers were Taiwan and Japan.  There have been many 200mm fabs closed over the past several years, but not in Taiwan and that resulted in the country becoming the largest source of 200mm capacity beginning in 2012.  With Taiwan being home to most of the IC industry’s foundry capacity, the country’s share of 200mm capacity will likely rise further in the coming years.

For 300mm wafers, South Korea was at the forefront, followed by Taiwan.  Taiwan lost its position as the leading supplier of 300mm wafer capacity in 2013.  That was in large part because ProMOS closed its large 300mm fabs, but it was also due to Samsung and SK Hynix continuing to expand their fabs in South Korea to support their high-volume DRAM and flash businesses.

The Semiconductor Industry Association (SIA) applauded congressional approval of the Trade Facilitation and Trade Enforcement Act of 2015 (H.R. 644/S.1269), bipartisan Customs legislation that includes a key provision to combat counterfeit semiconductors. The bill requires U.S. Customs and Border Protection (CBP) to expeditiously share information and samples of suspect counterfeit parts – including semiconductors – with rights holders, enabling quick identification of counterfeits.

“Counterfeit semiconductors pose significant risks to public health, safety, and national security,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The Customs bill Congress approved today will help reduce this risk and root out counterfeit semiconductors by ensuring open communication between Customs officials and semiconductor manufacturers, who are best-equipped to identify counterfeits. This legislation is good news for the semiconductor industry and consumers of our products – and bad news for semiconductor counterfeiters.”

Semiconductors are embedded into countless products and systems that perform critical functions in our society, and the failure of a single component in one of these products or systems can have dangerous consequences. SIA has long advocated for a multi-pronged approach to combatting counterfeit semiconductors. In December, SIA urged House and Senate leaders to approve the Customs legislation in order to better help identify shipments of counterfeits.

CBP has previously redacted images of suspect counterfeit semiconductors and delayed sharing information with companies that play a vital role in determining if parts are counterfeit and require seizure. Enactment of this legislation would allow CBP to use the expertise of rights holders in determining if parts are counterfeit, thereby helping prevent counterfeit products from entering the United States.

The threat of counterfeit semiconductors can also be greatly reduced by buying semiconductor products either directly from Original Component Manufacturers (OCMs) or their authorized distributors or resellers. This was the chief recommendation of SIA’s anti-counterfeiting white paper.

“We applaud Congress for approving this bipartisan legislation and urge the President to sign it into law in short order,” Neuffer said. “Doing so will help ensure the safety and security of technologies that are critical to America’s economic and national security.”

The annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2016) will be held May 16-19 in Saratoga Springs, New York. The conference will feature 35 hours of technical presentations and over 100 experts covering all aspects of advanced semiconductor manufacturing. This year’s event features a panel discussion on “Moore’s Law… Wall vs. Wallet… Where Do We Grow from Here?” and a tutorial on Nanoscale III-V CMOS by Dr. Jesús A. del Alamo, director, Microsystems Technology Laboratories, MIT.

SEMI’s ASMC continues to provide a venue for industry professionals to network, learn and share knowledge on new and best-method semiconductor manufacturing practices and concepts.  The conference is co-chaired by Dr. Jeanne Bickford of GLOBALFOUNDRIES and Dr. Janay Camp of KLA-Tencor.  ASMC 2016 offers keynotes by Christine Furstoss, VP and technical director, Manufacturing & Materials Technologies, GE Global Research on “Advanced Manufacturing…Changing Today’s Paradigm,” and Robert Maire, president, Semiconductor Advisors, on “Is China Driving the Urge to Merge?”

The topical areas that ASMC 2016 will address include:

  • 3D/TSV
  • Advanced Equipment and Materials Processes
  • Advanced Metrology
  • Advanced Patterning
  • Advanced Process Control (APC)
  • Contamination Free Manufacturing (CFM)
  • Yield Management; Defect Inspection
  • Equipment Reliability and Productivity Enhancement
  • Factory Optimization

ASMC includes an interactive poster session and reception, which provides an ideal opportunity for networking between authors and conference attendees.

ASMC 2016 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT). Corporate sponsors include: Air Liquide, Applied Materials, Applied Seals, Edwards, FEI, Greene Tweed, JT Baker – Avantor, KLA-Tencor, Nikon, and Valqua America.

Registration for ASMC 2016 is available at www.semi.org/asmc.  For more information contact Margaret Kindling at [email protected] or phone 1.202.393.5552. Qualified members of the media should contact Deborah Geiger (SEMI Public Relations) at [email protected] for media registration information.

According to IC Insights’ new 2016 edition of The McClean Report, total worldwide semiconductor industry capital spending is forecast to show low single-digit growth in 2016 after registering a 1% decline in 2015.  As discussed below, last year’s drop in semiconductor industry capital spending was a significant departure from historical patterns that go back more than 30 years.

Figure 1 shows the annual worldwide semiconductor industry capital spending changes from 1983-2015.  Over the past 33 years, there have been six periods when semiconductor industry capital spending declined by double-digits rates for one or two years (1985-1986, 1992, 1997-1998, 2001-2002, 2008-2009, and 2012-2013).  It is interesting to note that in every case except the 2012-2013 spending downturn, within two years after the period of decline in capital spending, a surge in spending of at least 45% occurred.  The second year increases in spending after the cutbacks were typically stronger than the first year after a downturn with the lone exception to this being the 2010 spending rebound after the 2008-2009 downturn.  This was because most semiconductor producers tend to act very conservatively coming out of a market slowdown and wait until they have logged about 4-6 quarters of good operating results before significantly increasing their capital spending again.

As shown in Figure 1, the streak of strong capital spending growth within two years after a spending cutback timeperiod ended in 2015, with capital spending registering a 1% decline.  IC Insights believes that this is yet another indication of a maturing semiconductor industry.

Figure 1

Figure 1

More detailed information on semiconductor industry capital spending, including 2016 capital spending forecasts by company, can be found in IC Insights’ flagship market research report, The McClean Report—A Complete Analysis and Forecast of the Integrated Circuit Industry. The new 478-page McClean Report provides IC market and technology trend forecasts from 2016 through 2020.

Fairchild Semiconductor International, Inc. announced this week that its board of directors, after consultation with its legal and financial advisors, has determined that the unsolicited proposal received on December 28, 2015, from China Resources Microelectronics Ltd and Hua Capital Management Co., Ltd.  to acquire Fairchild does not constitute a “Superior Proposal” as defined in the Company’s Agreement and Plan of Merger with ON Semiconductor Corporation.

On January 5, 2016, Fairchild announced that the Board determined that the Acquisition Proposal would reasonably be expected to result in a Superior Proposal. The Fairchild management team, along with Fairchild’s legal and financial advisors, engaged in extensive discussions with China Resources and Hua Capital. After conducting a thorough review, and after consultation with Fairchild’s legal and financial advisors, the Board concluded that the Acquisition Proposal is not superior to Fairchild’s existing agreement with ON Semiconductor.

As previously announced on November 18, 2015, Fairchild entered into an Agreement and Plan of Merger with ON Semiconductor, under which a wholly owned subsidiary of ON Semiconductor agreed to acquire all of the outstanding shares of Fairchild common stock for $20.00 per share in cash.

Fairchild remains subject to the Agreement and Plan of Merger with ON Semiconductor, and the Board has not changed its recommendation in support of that agreement.

Goldman, Sachs & Co. is acting as financial advisor to Fairchild, and Wachtell, Lipton, Rosen & Katz is serving as its legal counsel.

EV Group (EVG), a supplier of wafer-bonding, lithography/nanoimprint lithography (NIL), metrology, photoresist coating, cleaning and inspection equipment, today announced its participation in the 3D integration consortium of IRT Nanoelec, which is headed by CEA-Leti. EVG joins Leti, STMicroelectronics and Mentor Graphics to develop advanced 3D wafer-to-wafer bonding technologies. SET also joined recently the consortium.

Based in Grenoble, France, IRT Nanoelec is an R&D center focused on information and communication technologies (ICT) using micro- and nanoelectronics. 3D integration is one of its core programs.

The 3D integration program was launched in 2012. It brings together, under a single roof, expertise and equipment addressing the entire 3D integration value chain: technology, circuit architecture, EDA tools, packaging and test. Mentor Graphics (EDA), ST (foundry) and Leti are the founding members of the consortium.

“The development of permanent bonding equipment and processes geared towards high-volume manufacturing of 3D stacked devices has been a focus area for EVG for more than 15 years. We are excited about the opportunities that result from joining forces with CEA-Leti, STMicroelectronics and Mentor Graphics to further develop and prove our solutions for advanced 3D technologies, such as 3D partitioning and advanced 3D imaging sensors,” said Markus Wimplinger, corporate technology development and IP director. “Being able to verify and further optimize bonding technologies with the most advanced product designs and in a leading-edge fab environment is critical for further progressing our technology development.”

Séverine Chéramy, director of the 3D integration program of IRT Nanoelec, said the consortium expects to achieve an interconnection pitch of about 1µm.

“The work with EVG, in the frame of IRT Nanoelec, will undoubtedly add value to the current program, because wafer-to-wafer stacking using direct Cu-to-Cu bonding is key for advanced 3D technologies, specifically for imaging application and 3D partitioning,” she said. “EVG’s knowledge on bonding will leverage the process expertise of the original members. The participation of EVG in the consortium will create new opportunities and optimized and cost-effective solutions for 3D IC devices.”

IRT Nanoelec previously announced that SET, Smart Equipment Technology, joined a consortium project to help develop advanced 3D die-to-wafer stacking technologies, using direct copper-to-copper bonding.

IRT-Nanoelec Research Technological Institute (IRT), headed by CEA-Leti, conducts research and development in the field of information and communication technologies (ICT) and, specifically, micro- and nanoelectronics. Based in Grenoble, France, IRT Nanoelec leverages the area’s proven innovation ecosystem to create the technologies that will power the nanoelectronics of tomorrow, drive new product development and inspire new applications – like the Internet of Things – for existing technologies. The R&D conducted at IRT Nanoelec provides early insight into how emerging technologies such as 3D integration and silicon photonics will affect integrated circuits.

Reforms to revitalize the Russian electronics industry continue to create opportunities in the region. SEMICON Russia 2016 on 7-9 June will provide insights into market developments, technology, and business opportunities, short and long-term. SEMICON Russia 2016 is a forum for microelectronics manufacturing in Russia, connecting the full complete electronics supply chain – from material and equipment to manufacturing, services, components and applications.

Investment opportunities in Russia are largely driven by government strategy to develop domestic industries and public funding programs and present significant potential for companies. State support for the electronics industry is expected to grow, following the industrial policy law adopted at the end of 2014 that forecasts state investment, incentives and tax advantages for developing and manufacturing competitive products in Russia. State investment programs for the period 2014-2020 project a total of 130 new plants and renovated manufacturing sites, with an estimated total investment of $13 billion in R&D and infrastructure, including equipment renovation.

As a kick-off event for SEMICON Russia 2016, SEMI will host the first Hi-Tech Strategy Symposium Russia on the 7 June in Moscow.  Based on SEMI’s successful business symposiums, such as Industry Strategy Symposium (ISS) U.S. and ISS Europe, this will be the inaugural year for this program in Russia.  The TechARENA program this year will focus on a wide range of applications including: MEMS for the Internet of Everything, Medical Technology, Smart City, Industry 4.0, Aerospace Electronics, Power Electronics, and Plastic Electronics.  In addition, there will be a focus on innovation with a StartUp Zone which features regional innovation clusters.

The Call for Papers for SEMICON Russia is now open. Submit an abstract to present at SEMICON Russia conferences: MEMS for the Internet of Everything, Medical Technology Conference, Smart City, Industry 4.0, Aerospace Electronics, Power Electronics, and Plastic Electronics.  Visit our Call for Papers page to learn how to become a presenter. To exhibit at SEMICON Russia 2016, visit the exhibitor webpage.

The health of the IC industry is increasingly tied to the health of the worldwide economy. Rarely can there be strong IC market growth without at least a “good” worldwide economy to support it. Consequently, IC Insights expects annual global IC market growth rates to closely track the performance of worldwide GDP growth. In the recently released The McClean Report 2016, IC Insights forecasts 2.7% global GDP growth for 2016, only marginally ahead of what is considered to be the recession threshold of 2.5% growth.

Figure 1 puts the worldwide electronics and semiconductor industries into perspective. The top figure, worldwide GDP, represents all global economic activity. Essentially, the worldwide total available market (TAM) for business (i.e., GDP) was $78.4 trillion in 2015.

In many areas of the world, local economies have slowed. For example, economic growth in China slipped below 7% in 2015. China, which is the leading market for personal computers, digital TVs, smartphones, new commercial aircraft, and automobiles, is forecast to lose more economic momentum in 2016. Its GDP is forecast to increase 6.3% in 2016, which continues a slide in that country’s annual GDP growth rate that started in 2010.

While the U.S. economy is far from perfect, it is currently one of the most significant positive driving forces in the worldwide economy. The U.S. accounted for 22% of worldwide GDP in 2015. U.S. GDP is forecast to grow 2.5% in 2016. Given its size and strength, the U.S. economy greatly influences overall global GDP growth. An improving employment picture and the low price of oil are factors that should positively impact the U.S. economy in 2016.

Other noteworthy industry highlights from the 2016 edition of The McClean Report include the following:

Global semiconductor sales decreased 1% in 2015 but are forecast to grow 4% in 2016. IC Insights expects the worldwide IC market to increase 4% in 2016, and sales of optoelectronics, sensors, and discrete (OSD) devices collectively to register 5% growth.

Figure 1

Figure 1

• Total semiconductor unit shipments (including IC and OSD devices) reached almost 840-billion units in 2015 and are expected to exceed one trillion units in 2018. After increasing 4% in 2015, IC unit shipments are forecast to grow 5% in 2016. Analog devices are forecast to account for 53% of total IC unit shipments in 2016.

• A stable IC pricing environment is expected through 2020 due in part to fewer suppliers in various IC markets (i.e., DRAM, MPU, etc.), lower capital spending as a percent of sales, and no significant new IC manufacturers entering the market in the future (the surge of Chinese IC companies that entered the market in the early 2000’s is assumed to be the last large group of newcomers.

Semiconductor industry capital spending grew to $65.9 billion in 2015. IC Insights forecasts semiconductor capital spending will decrease 1% in 2016. Spending on flash memory and within the foundry segment is forecast to increase in 2016 but spending for all other market segments, including DRAM, is expected to decline. Semiconductor capital spending as a percent of sales is forecast to remain in the mid- to high-teens range through 2020. IC Insights believes spending at this level will not lead to an industry-wide overcapacity during the forecast period.

Semiconductor R&D spending increased 1% in 2015 to new record high of $56.4 billion. Intel dedicated $12.1 billion to R&D in 2015 (24.0% of sales) to remain the largest semiconductor R&D spender in 2015. R&D spending at TSMC, the industry’s biggest pure-play foundry rose 10% in 2015, ranking it 5th among top R&D spenders. TSMC joined the group of top-10 R&D spenders for the first time in 2010, giving an indication of just how important TSMC and other pure-play foundries have become to the IC industry with continuing technological progress.

Further trends and analysis relating to the IC market are covered in the main 400-plus page 2016 edition of The McClean Report.

At the SEMI Industry Strategy Symposium Europe (SEMI ISS Europe) on 6-8 March, industry experts will delve into the disruptions and opportunities impacting the electronics manufacturing supply chain.  Updating the trends, forecasts, and business intelligence for 2016 and beyond, SEMI ISS looks at not just at Europe, but Europe in context of the global markets. This   gives senior executives the industry data and perspectives needed to support business decisions, customer strategies, and the pursuit of greater profitability.

As PC and mobile phone markets mature, a new growth engine in smart systems drives an explosion of applications in the Internet of Things (IoT).  With market outlooks ranging from 20 to 40 billion connected devices by 2020, volumes and value capture prospects for the industry are beginning to come into focus. This growth drives an avalanche of new applications to meet changing societal needs and challenges with cost-efficient electronic solutions, enabling intelligent mobility, automotive, smart homes, smart cities, smart power, and healthcare.

With a valuable early-year assessment into the industry direction and business conditions, this year’s ISS Europe adds new speakers from Nissan Global Foundation and John Hopkins Medicine among others.  A preview of presenters from the diverse ecosystem includes:

  • Nissan Global Foundation: Haruyoshi Kumura, vice-chairman
  • NXP: Asit Goel, senior VP and GM, Business Line Secure Monitoring & Control
  • John Hopkins Medicine: Annastasiah Mudiwa Mhaka, director, Life Sciences
  • Intel: Charles Sheridan, head, IoT Systems Research
  • Infineon Technologies AG: Arunjai Mittal, member of the Management Board
  • ASML: Rob Hartman, director, Strategic Technology Program
  • GlobalFoundries: Rutger Wijjburg, senior VP and GM, Fab Management

For the ISS Europe agenda, click here. Register now for ISS Europe 2016.

SEMI is the global industry association serving the electronics manufacturing supply chains.