Category Archives: Metrology

Security by design


November 13, 2015

Chowdary_Yanamadala-150x150By Chowdary Yanamadala, Senior Vice President of Business Development, ChaoLogix

The advent of Internet-connected devices, the so-called Internet of Things (IoT), offers myriad opportunities and significant risks. The pervasive collection and sharing of data by IoT devices constitutes the core value proposition for most IoT applications. However, it is our collective responsibility, as an industry, to secure the transport and storage of the data. Failing to properly secure the data risks turning the digital threat into a physical threat.  

Properly securing IoT systems requires layering security solutions. Data must be secured at both the network and hardware level. As a hardware example, let’s concentrate, on the embedded security implemented by semiconductor chips.

Authentication and encryption are the two main crypto functions utilized to ensure data security. With the mathematical security of the standardized algorithms (such as AES, ECDSA, SHA512, etc.) is intact, hackers often exploit the implementation defects to compromise the inherent security provided by the algorithms.

One of the most dangerous and immediate threats to data security is a category of attacks called Side Chanel Analysis attacks (SCA). SCA attacks exploit the power consumption signature during the execution of the crypto algorithms. This type of attack is called Differential Power Analysis (DPA). Another potent attack form of SCA is exploiting the Electromagnetic emanations that are occurring during the execution of the crypto algorithm – or Differential Electromagnetic Analysis attacks (DEMA).

Both DPA and DEMA attacks rely on the fact that sensitive data, such as secret keys, leaks via the power signature (or EM signature) during execution of the crypto algorithm.

DPA and DEMA attacks are especially dangerous, not only because of their effectiveness in exploiting security vulnerabilities but also due the low cost of the equipment required for the attack. An attacker can carry out DPA attacks against most security chips using equipment costing less than $2,000.

There are two fundamental ways to solve the threat of DPA and DEMA. One approach is to address the symptoms of the problem. This involves adding significant noise to the power signature in order to obfuscate the sensitive data leakage. This is an effective technique.  However, it is an ad-hoc and temporary measure against a potent threat to data security. Chip manufacturers can also apply this technique as a security patch, or afterthought, once  and architecture work is completed.

Another way (and arguably a much better way) to solve the threat of DPA is to address the problem at the source. The source of the threat derives from the leakage of sensitive data the form of power signature variations. The power signature captured during the crypto execution is dependent on the secret key that is processed during the crypto execution. This makes the power signature indicative of the secret key.

What if we address the problem by minimizing the relation between the power signature and the secret key that is used for crypto computation? Wouldn’t this offer a superior security? Doesn’t addressing the problem at the source provide more fundamental security? And arguably a more permanent security solution?

Data security experts call this Security By Design. It is obvious that solving a problem at the source is a fundamentally better approach than providing symptomatic relief to the problems. This is true in the case of data security as well. In order to achieve the solution (against the threat of DPA and DEMA) at the source, chip designers and architects need to build the security into the architecture.

Security needs to be a deliberate design specification and needs to be worked into the fabric of the design. Encouragingly, more and more chip designers are moving away from addressing security as an afterthought and embracing security by design.

As an industry, we design chips for performance, power, yield and testability. Now it is time to start designing for security. This is especially true for chips used in IoT applications. These chips tend to be small, have limited computational power and under tight cost constraints. It is, therefore, difficult, and in some cases impossible, to apply security patches as an afterthought. The sound approach is to start weaving security into the building blocks of these chips.

In sum, designing security into a chip is as much about methodology as it is about acquiring various technology and tools. As IoT applications expand and the corresponding demand for inherently secure chips grows, getting this methodology right will be a key to successful deployment of secure IoT systems.

Related data security articles: 

Security should not be hard to implement

ChaoLogix introduces ChaoSecure technology to boost semiconductor chip security

From laptops and televisions to smartphones and tablets, semiconductors have made advanced electronics possible. These types of devices are so pervasive, in fact, that Northwestern Engineering’s Matthew Grayson says we are living in the “Semiconductor Age.”

“You have all these great applications like computer chips, lasers, and camera imagers,” said Grayson, associate professor of electrical engineering and computer science in Northwestern’s McCormick School of Engineering. “There are so many applications for semiconductor materials, so it’s important that we can characterize these materials carefully and accurately. Non-uniform semiconductors lead to computer chips that fail, lasers that burn out, and imagers with dark spots.”

Grayson’s research team has created a new mathematical method that has made semiconductor characterization more efficient, more precise, and simpler. By flipping the magnetic field and repeating one measurement, the method can quantify whether or not electrical conductivity is uniform across the entire material – a quality required for high-performance semiconductors.

“Up until now, everyone would take separate pieces of the material, measure each piece, and compare differences to quantify non-uniformity,” Grayson said. “That means you need more time to make several different measurements and extra material dedicated for diagnostics. We have figured out how to measure a single piece of material in a magnetic field while flipping the polarity to deduce the average variation in the density of electrons across the sample.”

Remarkably, the contacts at the edge of the sample reveal information about the variations happening throughout the body of the sample.

Supported by funding from the Air Force’s Office of Scientific Research, Grayson’s research was published on October 28 online in the journal Physical Review Letters. Graduate student Wang Zhou is first author of the paper.

One reason semiconductors have so many applications is because researchers and manufacturers can control their properties. By adding impurities to the material, researchers can modulate the semiconductor’s electrical properties. The trick is making sure that the material is uniformly modulated so that every part of the material performs equally well. Grayson’s technique allows researchers and manufacturers to directly quantify such non-uniformities.

“When people see non-uniform behavior, sometimes they just throw out the material to find a better piece,” Grayson said. “With our information, you can find a piece of the material that’s more uniform and can still be used. Or you can use the information to figure out how to balance out the next sample.”

Grayson’s method can be applied to samples as large as a 12-inch wafer or as small as an exfoliated 10-micron flake, allowing researchers to profile the subtleties in a wide range of semiconductor samples. The method is especially useful for 2-D materials, such as graphene, which are too small for researchers to make several measurements across the surface.

Grayson has filed a patent on the method, and he hopes the new technique will find use in academic laboratories and industry.

“There are companies that mass produce semiconductors and need to know if the material is uniform before they start making individual computer chips,” Grayson said. “Our method will give them better feedback during sample preparation. We believe this is a fundamental breakthrough with broad impact.”

11/3/2015 Update: The deadline for papers has been extended to November 11, 2015

SEMI announced today that the deadline for presenters to submit an abstract for the 27th annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC) is November 2. ASMC, which takes place May 16-19, 2016 in Saratoga Springs, New York, will feature technical presentations of more than 90+ peer-reviewed manuscripts covering critical process technologies and fab productivity. This year’s event features keynotes, a panel discussion, networking events, technical sessions on advanced semiconductor manufacturing, as well as educational tutorials.

ASMC continues to fill a critical need in our industry and provides a venue for industry professionals to network, learn and share knowledge on new and best-method semiconductor manufacturing practices and concepts. Selected speakers have the opportunity to present in front of IC manufacturers, equipment manufacturers, materials suppliers, chief technology officers, operations managers, process engineers, product managers and academia. Technical abstracts are due November 2, 2015. 

This year SEMI is including two new technology areas: 3D/TSV/Interposer and Fabless Experience. SEMI is soliciting technical abstracts in these key technology areas:

  • 3D/TSV/Interposer
  • Advanced Metrology
  • Advanced Equipment Processes and Materials
  • Advanced Patterning / Design for Manufacturability
  • Advanced Process Control (APC)
  • Contamination Free Manufacturing (CFM)
  • Data Management and Data Mining Tools
  • Defect Inspection and Reduction
  • Discrete Power Devices
  • Enabling Technologies and Innovative Devices
  • Equipment Reliability and Productivity Enhancements
  • Fabless Experience
  • Factory Automation
  • Green Factory
  • Industrial Engineering
  • Lean Manufacturing
  • Yield Methodologies

Complete descriptions of each topic and author kit can be accessed at http://www.semi.org/en/node/38316. If you would like to learn more about the conference and the selection process, please contact Margaret Kindling at [email protected] or call 1.202.393.5552.   

Papers co-authored between device manufacturers, equipment or materials suppliers, and/or academic institutions that demonstrate innovative, practical solutions for advancing semiconductor manufacturing are highly encouraged. To submit an abstract, visit http://semi.omnicms.com/semi/asmc2016/collection.cgi

Technical abstracts are due November 2, 2015. To learn more about the SEMI Advanced Semiconductor Manufacturing Conference, visit http://www.semi.org/asmc2016.

Lam Research Corporation (LRCX) and KLA-Tencor Corporation (KLAC) today announced that they have entered into a definitive agreement for Lam Research to acquire all outstanding KLA-Tencor shares in a cash and stock transaction. The move, unanimously approved by the boards of directors of both companies, will create a combined company with approximately $8.7 billion in pro forma annual revenue.

The combined company expects to realize $250 million in cost savings within 18 to 24 months of closing, and anticipates gaining approximately $600 million in incremental revenue by 2020 through improved differentiation of each company’s products and creation of new capabilities.

“This is just what the doctor ordered,” Srini Sundararajan, Semiconductor and Semicaps Analyst for W.R. Hambrecht + Co./Summit Research, wrote in an analysis of the move. “It removes excessive dependence of LRCX on memory and excessive dependence of KLAC on foundry/logic.”

According to the LRCX press release, “the combination will create unmatched capability in process and process control, delivering optimized results in partnership with its customers by reducing variability and accelerating yield, ultimately helping the semiconductor industry extend Moore’s Law and performance scaling generally.”

“The pairing of Lam Research and KLA-Tencor brings industry leadership in process and process control together, accelerating our capability to address our customers’ most difficult challenges as they scale to meet the market demands of lower power, higher performance, and smaller form factors,” said Martin Anstice, Lam’s president and chief executive officer. “Lam Research and KLA-Tencor’s shared commitment to collaboration and building strong customer trust, along with our respective track records of innovation, product leadership, and operational excellence, position us as a combined company to deliver the higher levels of technology differentiation and speed to solutions that are critical to our customers’ long-term success.”

“I strongly believe that this transaction represents a great outcome for all of KLA-Tencor’s key stakeholders,” said Rick Wallace, president and chief executive officer of KLA-Tencor. “The combined company will be uniquely positioned to work collaboratively with our customers to help them meet the challenges of FinFET, multi-patterning and 3D NAND development.  Given the complementary nature of the two companies’ product offerings and technologies as well as the lack of product overlap, the combination will create an industry leader with greater opportunities for our respective employees for professional development and growth. Lastly, this transaction will benefit our stockholders who will receive compelling upfront value, in addition to the opportunity to own a meaningful stake in an industry leader and participate in the upside potential created by the combination.”

According to the press release, the transaction is expected to close in mid-calendar year 2016, pending customary regulatory approvals. The transaction is also subject to customary closing conditions, including the adoption by KLA-Tencor’s stockholders of the merger agreement and the approval by Lam Research’s stockholders of the issuance of shares in the transaction. Given their complementary product lines and the industry benefits the transaction will enable, the companies believe that they will be able to obtain the requisite regulatory approvals on a timely basis.

Analyst Sundararajan agrees: “We expect minimal opposition to this deal from the various jurisdictions, rather easily handled.”

However, Robert Maire of Semiconductor Advisors thinks approval could potentially be more difficult. “We think this is going to be the obvious biggest issue after the failed AMAT & TEL merger.  We think there will likely be opposition in the semi industry but probably less so than we heard the screaming related to AMAT/TEL,” he wrote. “While maybe not overjoyed, the combination makes a lot of sense for customers and feels a lot less negative than the failed AMAT/TEL.”

According to the press release, some of the benefits the combined company expects to see are:

  • Creates Premier Semiconductor Capital Equipment Company: Strengthened platform for continued outperformance, combining Lam’s best-in-class capabilities in deposition, etch, and clean with KLA-Tencor’s leadership in inspection and metrology
  • Accelerated Innovation: Increased opportunity and capability to address customers’ escalating technical and economic challenges
  • Broadened Market Relevance: Comprehensive and complementary presence across market segments provides diversity, scale and value creating innovation opportunities
  • Significant Cost and Revenue Synergies: Approximately $250 million in expected annual on-going pre-tax cost synergies within 18-24 months of closing the transaction, and $600 million in annual revenue synergies by 2020
  • Accretive Transaction: Increased non-GAAP EPS and free cash flow per share during the first 12 months post-closing
  • Strong Cash Flow: Complementary memory and logic customer base, operational strength, and meaningful installed base revenues strengthen cash generation capability

According to Sundararajan, the move could have negative impacts for some other companies in the industry. “This deal is quite negative for Applied Materials (AMAT) and Hermes Microvision and perhaps for ASML also,” he wrote. “In the case of AMAT, their process diagnostics and control division being based in Israel does not allow of meshing of capabilities, and product synergies really don’t exist.  In the case of Hermes Microvision, since etch is the pre-dominant user of e-beam inspection due to testing of contacts, a combination of KLAC and LRCX with both e-beam and etch capabilities can be lethal.”

Maire also foresees difficulties for competitors: “The combined LAM and KLA creates a powerhouse in the semicap industry, which is looking a lot more like a duopoly.”

Lam president and CEO Anstice concluded, “We have tremendous respect for the company KLA-Tencor employees have built over nearly 40 years — their culture, technology, and operating practices. I have no doubt that our combined values, focus on the customer, and complementary technologies will create a trusted leader in our industry, capable of creating significant opportunity for profitable growth and in turn delivering tremendous value to all of our stakeholders. This is the right time for the right combination in our industry.”

London, UK and San Jose, California – Dialog Semiconductor and Atmel Corporation announced today that Dialog has agreed to acquire Atmel in a cash and stock transaction for total consideration of approximately $4.6 billion. The acquisition creates a global leader in both Power Management (defined as power management solutions for mobile platforms including smartphones, tablets, portable PCs and wearable-type devices) and Embedded Processing solutions. The transaction results in a company that supports Mobile Power, IoT and Automotive customers. The combined company will address a market opportunity of approximately $20 billion by 2019.

Dialog will complement its position in Power Management ICs with a portfolio of proprietary and ARM (R) based Microcontrollers in addition to high performance ICs for Connectivity, Touch and Security. Dialog will also leverage Atmel’s established sales channels to diversify its customer base. Through realized synergies, the combination could deliver an improved operating model and enable new revenue growth opportunities.

“The rationale for the transaction we are proposing today is clear – and the potential this combination holds is exciting. By bringing together our technologies, world-class talent and broad distribution channels we will create a new, powerful force in the semiconductor space. Our new, enlarged company will be a diversified, high-growth market leader in Mobile Power, IoT and Automotive. We firmly believe that by combining Power Management, Microcontrollers, Connectivity and Security technologies, we will create a strong platform for innovation and growth in the large and attractive market segments we serve. This is an important and proud milestone in the evolution of our Dialog story,” said Jalal Bagherli, Dialog Chief Executive Officer.

“This transaction combines two successful companies and will create significant value for Atmel and Dialog shareholders, customers and employees. Adding Dialog’s world-class capabilities in Power Management with Atmel’s keen focus on Microcontrollers, Connectivity and Security will enable Dialog to more effectively target high-growth applications within the Mobile, IoT and Automotive markets,” said Steven Laub, Atmel President and Chief Executive Officer.

The transaction is expected to close in the first quarter of the 2016 calendar year. In 2017, the first full year following closing, the transaction is expected to be accretive to Dialog’s underlying earnings. Dialog anticipates achieving projected annual cost savings of $150 million within two years. The purchase price implies a total equity value for Atmel of approximately $4.6 billion and a total enterprise value of approximately $4.4 billion after deduction of Atmel’s net cash. Dialog expects to continue to have a strong cash flow generation profile and have the ability to substantially pay down the transaction debt approximately three years after closing.

The transaction has been unanimously approved by the boards of directors of both companies and is subject to regulatory approvals in various jurisdictions and customary closing conditions, as well as the approval of Dialog and Atmel shareholders. Jalal Bagherli will continue to be the Chief Executive Officer and Executive Board Director of Dialog. Two members of Atmel’s existing Board will join Dialog’s Board following closing. The transaction is not subject to a financing condition.

Marking an industry first for emerging electronics devices, Semiconductor Research Corporation (SRC) today announced a significant expansion of its benchmarking research — a unique program that evaluates the relative capabilities of new and emerging computing devices.

SRC, the world’s leading university-research consortium for semiconductor technologies, is managing the initiative through its Nanoelectronics Research Initiative (SRC-NRI) and STARnet Research programs. The research will be led by the Georgia Institute of Technology’s Azad Naeemi, associate professor, Georgia Tech School of Electrical and Computer Engineering.

“Benchmarking guides university research funded through SRC — enabling concise communication of research outcomes, focusing researchers’ attention on key technical challenges and sparking invention,” said Tom Theis, executive director of SRC-NRI. “Professor Naeemi’s research is expected to take benchmarking of emerging devices to a new level of sophistication.”

Evaluating the performance of devices in representative “benchmark” circuits is a well-established engineering practice in the semiconductor industry. However, this new program is the first to develop a comparable methodology for evaluating the relative capabilities of emerging devices.

These emerging devices include, for example, transistor-like “steep slope” devices that can operate at very low voltage and, therefore, very low power, and non-volatile magnetic devices that combine the functions of logic and memory. The new devices operate by a variety of principles fundamentally different from those governing the operation of established silicon field-effect transistor technology.

In recent years, benchmarking of these devices has steadily increased in rigor. The Georgia Tech team — selected by a group of SRC member companies supporting the initiative including IBM, Intel Corporation, Micron Technology and Texas Instruments — will build on this foundation.

“This research will also enable selection of the most promising emerging devices for technology transfer to SRC member companies and for continued development in future SRC research programs,” said Gilroy Vandentop, executive director of STARnet Research.

Besides maintaining and improving the established benchmarking methodology, the Georgia Tech team is tasked with developing and evaluating benchmark circuits to better understand the potential of new devices for memory arrays, to explore and quantify the value of non-volatility and to measure the impact of various ways of implementing device-to-device connections. Perhaps most challenging, Prof. Naeemi will lead the development of a rigorous benchmarking methodology for non-Boolean (analog) computational circuits being explored for future applications such as artificial neural networks.

“Our team is chartered with maintaining and improving the established benchmarking methodology for emerging devices, evaluating the potential performance of the various SRC-NRI and STARnet devices in the established benchmark circuits,” said Naeemi. “We will incorporate additional device concepts as they emerge through ongoing research, and we will develop additional benchmark circuits to better understand the capabilities of these devices.”

The SRC benchmark program is a two-and-a-half year effort that funds research from July 1, 2015 through the close of 2017.

In 2014, the automotive sector significantly outperformed the overall market average for semiconductors. In fact, the automotive market overtook data processing to become the third largest end market for power semiconductor applications, according to IHS Inc., a global source of critical information and insight.

Based on information from the IHS Power Management Market Share and Supplier Analysis report, demand for semiconductors by the automotive industry was particularly strong in advanced driver assistance systems (ADAS) and infotainment systems. In the power management semiconductor market, power integrated circuits (ICs) grew much faster than traditional power discrete solutions. The automotive power IC category in 2015 is forecast to grow 8 percent, year over year, while discrete revenue is projected to remain flat during the same time period.

Fig 1

Fig 1

“One strategy that automakers are undertaking to control research and development costs is to develop shared designs, components, engineering, and production platforms, and using the same electronic control units (ECUs)  for many different platforms with the same features,” said Jonathan Liao, senior analyst of power semiconductors for IHS. “While over time modern cars have increased in size, suppliers prefer small and interchangeable electronic control units that can fit on various platforms, which help lower overall development costs, and expand the universe of target customers, for an improved return on investment.”

As a result of this approach, automotive power ICs are growing faster than discrete solutions. For example, Texas Instruments – the market leader in voltage regulators — controlled 8 percent of voltage regulators used by the automotive industry in 2011 and increased its voltage regulator revenues by 150 percent by the end of 2014. By comparison, Infineon — the leading automotive-market supplier of discrete power solutions — increased their power management revenues, at roughly half of Texas Instruments’ growth rate, during the same time period.

Growing demand for luxury features in non-luxury vehicles

Increased consumer demand has caused many luxury car features to find their way into the non-luxury car market, which is causing an increase in overall demand for power ICs. Adaptive cruise control, blind-spot monitoring, connected traffic updates, sophisticated infotainment systems with voice command and other advanced features are being integrated, as both options and upgrades, into mass-produced mid-range vehicles, like the Ford Fusion, which has a suggested price of $22,000. “Features that were originally designed for Mercedes-Benz, BMW, Lexus and other luxury cars have very quickly found their way into the non-luxury market,” Liao said

There are several key features that will encourage further power IC adoption, including Internet-connected cars, vehicle-to-vehicle (V2V) communications, autonomous cars, Apple’s CarPlay and Android Auto. For all of these features, application processing speed and software are critical components.

“It is crucial for the ECUs to gather, process and respond to information in real time, for the safety and convenience of the driver,” Liao said. “Sophisticated power management solutions for power-intensive multi-core processors, baseband chipsets and sensor arrays can be implemented much more easily with power ICs.”

All of these advanced features are expected help power ICs to grow faster than discrete solutions.

The overall trend of power ICs outperforming power discrete solutions in the automotive semiconductor sector is expected to continue. Switch regulators, low-dropout (LDO) regulators and power management integrated circuits (PMICs) are examples of fast-growing power IC components with better integration, efficiency and smaller footprints –especially for low voltage applications in automotive electronics.

bill holt

William M. Holt, executive vice president and general manager of the Technology and Manufacturing Group (TMG) at Intel.

The Semiconductor Industry Association (SIA) today announced William M. Holt, executive vice president and general manager of the Technology and Manufacturing Group (TMG) at Intel, has been named the 2015 recipient of SIA’s highest honor, the Robert N. Noyce Award. SIA presents the Noyce Award annually in recognition of a leader who has made outstanding contributions to the U.S. semiconductor industry in technology or public policy. Holt will accept the award at SIA’s Annual Award Dinner on Thursday, Dec. 3.

“For the last four decades, Bill Holt has been a tireless advocate, innovator, and leader for the semiconductor industry, helping advance new technologies that drive our industry and power our economy,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Throughout his distinguished career, Bill’s expertise, skill, and unwavering determination have helped keep the semiconductor industry at the forefront of innovation. On behalf of the SIA board of directors, it is an honor to announce Bill’s selection as the 2015 Robert N. Noyce Award recipient in recognition of his tremendous accomplishments.”

Holt began his Intel career in DRAM development in 1974. Today, he is responsible for technology development and the company’s worldwide manufacturing operations, including component fabrication, assembly and test, customer fulfillment, and supply chain management. Additionally, Holt oversees research and development in the areas of wafer process, package assembly and test, and design and technology computer-aided tools. Holt earned a bachelor’s degree in electrical engineering from the University of Illinoisand a master’s in electrical engineering from the University of Santa Clara.

“It is a tremendous honor to join the ranks of Noyce Award winners, individuals who have built the semiconductor industry and made it a paragon of America’s economic and technological strength,” said Holt. “Throughout my career, I have focused on doing my part to advance the forward march of innovation, one step at a time. As I gratefully accept this award, I look forward to continuing to help our industry take the next step forward.”

The Noyce Award is named in honor of semiconductor industry pioneer Robert N. Noyce, co-founder of Fairchild Semiconductor and Intel. In addition to the presentation of the Noyce Award, this year’s SIA Award Dinner will feature former Defense Secretary Leon Panetta as keynote speaker.

SEMICON Taiwan 2015 opened today starting a three-day event drawing over 43,000 attendees from electronics manufacturing. Held 2-4 September, SEMICON Taiwan represents the huge Taiwan business potential with Taiwanese chipmakers and Outsourced Semiconductor Assembly and Test (OSAT) firms spending over $20 billion in the next two years on equipment and materials.

2015 is the 20th anniversary of SEMICON Taiwan and now draws more than 700 exhibitors and more than 43,000 attendees.  Over 500 will attend the SEMICON Taiwan Leadership Gala Dinner, one of the most important executive events for the high-tech industry in Taiwan.

SEMICON Taiwan features co-located events and technology theme pavilions focusing on IC design, MEMS, 3D-ICs, advanced packaging/testing, sustainable manufacturing, and secondary equipment.

Highlights of this year’s show include:

  • Executive Summit: With the theme “Conversation between Nobel Prize Laureate and Distinguished Leaders in Taiwan,” executives from Executive Yuan, Etron Technology, ASE Group, and NCTU will share their unique perspectives with Prof. Shuji Nakamura, 2014 Nobel Prize winner.
  • Market Trends Forum: Forum features speakers from Beijing Gaohua Securities, IDC Asia/Pacific, UBS Investment Bank, Sanford C. Bernstein, TechSearch, and SEMI, with moderation by TSMC.
  • CFO and Investor Summit: With the theme, “An Exciting Period of Growth and Mergers in the Semiconductor Industry,” the event features speakers from TSMC, DBS, National Tsing Hua University, imec, and Taiwan M&A and Private Equity Council, with moderation by EQUVO.
  • Memory Executive Summit: The Summit includes presenters from Everspin, imec, Inotera Memories, and ITRI.
  • SiP Global Summit 2015: With a strong focus on heterogeneous integration through System-in-a-Package (SiP) technology, the event features more than 20 industry leaders who will share their insights and solutions on 3D-IC, Through Silicon Via (TSV), 2.5D-IC with silicon interposer, and embedded substrate technologies. More than 500 industry professionals from around the world are expected to attend.
  • Advanced Packaging Technology Symposium: Presenters will cover market trends, product applications, and packaging/assembly solutions to advanced equipment and material development, and testing and reliability – covering the most advanced technology development directions for 3D-IC.
  • Sustainable Manufacturing Forum: Experts will address a wide variety of environment, health, safety (EHS) and sustainability topics that affect high-tech manufacturing.
  • Semiconductor Materials Forum: This is the newest forum — features topics including front-end materials for advanced semiconductor devises, advanced materials solutions for 10nm and beyond, challenges for local material manufacturers, and novel materials, and activities for advanced packaging.

For more information and online registration, visit the SEMICON Taiwan website: www.semicontaiwan.org

SEMI, the global association serving the electronics supply chain, today announced the appointment of Laith Altimime as president of SEMI Europe, effective October 1, 2015. Altimime will report directly to SEMI’s CEO, Denny McGuirk, and will lead SEMI’s activities in Europe and Middle East and North Africa (MENA).

Altimime has more than 25 years of experience in the semiconductor industry with the majority spent in Europe.  Most recently, he was senior director of business development at imec. Prior to this, Altimime held leadership positions at Altis/Infineon/Qimonda, KLA-Tencor, Communicant Semiconductor AG, and NEC Semiconductors.  He has deep experience driving multi-cultural globally dispersed teams to achieve ambitious goals in competitive and technically demanding markets.  Altimime holds a Bachelor’s of Science (Honors) degree in applied physics and semiconductors electronics from Heriot-Watt University in Scotland.

Altimime will have overall responsibility for SEMI Europe’s events, programs, membership, advocacy, and collaborative forums.  He will also be responsible for strategic development and world-class service of relationships with SEMI members as well as industry, government, academia and other local associations and constituents in Europe.  As SEMI is a global association, Altimime will provide navigation, support, and services to SEMI’s members from all regions that have electronics supply chain interest in Europe.

“Laith’s deep technical and leadership experience in semiconductor manufacturing and semiconductor equipment companies, as well as his experience at imec, make him an exceptional fit for leading SEMI Europe. Not only is imec a world-leading research center in nanoelectronics with global industrial partnerships, but it an important partner of SEMI,” said Denny McGuirk, president and CEO of SEMI.  “Laith is well positioned to lead SEMI Europe in the vibrant and rapidly evolving technology development and manufacturing ecosystem.”

“I wish Laith lots of success in his new role as president of SEMI Europe. Laith’s deep knowledge of semiconductors ─ the industry, the eco system, technology, roadmaps and strategies ─ make him extremely suited to advance the European semiconductor industry in these challenging times. I would like to thank Laith for his valuable contributions at imec and I’m looking forward to collaborate with him in strengthening Europe’s semiconductor ecosystem as President of SEMI Europe” said Luc Van den hove, President and CEO, imec.