Category Archives: Metrology

A team of IBM researchers in Zurich, Switzerland with support from colleagues in Yorktown Heights, New York has developed a relatively simple, robust and versatile process for growing crystals made from compound semiconductor materials that will allow them be integrated onto silicon wafers — an important step toward making future computer chips that will allow integrated circuits to continue shrinking in size and cost even as they increase in performance.

Appearing this week on the cover of the journal Applied Physics Letters, from AIP Publishing, the work may allow an extension to Moore’s Law, the famous observation by Gordon Moore that the number of transistors on an integrated circuit double about every two years. In recent years some in the industry have speculated that our ability to keep pace with Moore’s Law may become exhausted eventually unless new technologies come along that will lend it leash.

“The whole semiconductor industry wants to keep Moore’s Law going. We need better performing transistors as we continue down-scaling, and transistors based on silicon won’t give us improvements anymore,” said Heinz Schmid, a researcher with IBM Research GmbH at Zurich Research Laboratory in Switzerland and the lead author on the paper.

For consumers, extending Moore’s Law will mean continuing the trend of new computer devices having increasing speed and bandwidth at reduced power consumption and cost. The new technique may also impact photonics on silicon, with active photonic components integrated seamlessly with electronics for greater functionality.

How the work was done

The IBM team fabricated single crystal nanostructures, such as nanowires, nanostructures containing constrictions, and cross junctions, as well as 3-D stacked nanowires, made with so-called III-V materials. Made from alloys of indium, gallium and arsenide, III-V semiconductors are seen as a possible future material for computer chips, but only if they can be successfully integrated onto silicon. So far efforts at integration have not been very successful.

The new crystals were grown using an approach called template-assisted selective epitaxy (TASE) using metal organic chemical vapor deposition, which basically starts from a small area and evolves into a much larger, defect-free crystal. This approach allowed them to lithographically define oxide templates and fill them via epitaxy, in the end making nanowires, cross junctions, nanostructures containing constrictions and 3-D stacked nanowires using the already established scaled processes of Si technology.

“What sets this work apart from other methods is that the compound semiconductor does not contain detrimental defects, and that the process is fully compatible with current chip fabrication technology,” said Schmid. “Importantly the method is also economically viable.”

He added that more development will be required to achieve the same control over performance in III-V devices as currently exists for silicon. But the new method is the key to actually integrating the stacked materials on the silicon platform, Schmid said.

The Semiconductor Industry Association (SIA) announced worldwide sales of semiconductors reached $27.6 billion for the month of April 2015, 4.8 percent higher than the April 2014 total of $26.3 billion and 0.4 percent lower than last month’s total of $27.7 billion. The Americas market posted double-digit growth compared to last year, leading all regions. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. Additionally, a new WSTS industry forecast projects steady market growth for the next three years.

“Year-to-year semiconductor sales increased for the 24th straight month in April, thanks largely to continued growth in the Americas and Asia Pacific regional markets,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The global industry has posted higher sales through April than at the same point in 2014, and we expect continued growth for the rest of 2015 and beyond.”

Regionally, year-to-year sales increased in the Americas (12.2 percent), China (9.9 percent), and Asia Pacific/All Other (5.2 percent), while sales decreased compared with last year in Europe (-5.6 percent) and Japan (-10.7 percent). Compared with last month, sales were up in the Asia Pacific/All Other (2.3 percent) category, but down in Japan (-0.2 percent), China (-0.7 percent), Europe (-2.3 percent), and the Americas (-3.4 percent).

Additionally, SIA today endorsed the WSTS Spring 2015 global semiconductor sales forecast, which projects the industry’s worldwide sales will reach $347.2 billion in 2015, a 3.4 percent increase from the 2014 sales total. WSTS projects year-to-year increases for 2015 in Asia Pacific (7.0 percent) and the Americas (3.7 percent), with decreases projected for Europe (-3.6 percent) and Japan (-9.5 percent).

Beyond 2015, the industry is expected to grow at a modest pace across all regions. WSTS forecasts 3.4 percent growth globally for 2016 ($358.9 billion in total sales) and 3.0 percent growth for 2017 ($369.6 billion). WSTS tabulates its semi-annual industry forecast by convening an extensive group of global semiconductor companies that provide accurate and timely indicators of semiconductor trends.

April 2015
Billions
Month-to-Month Sales
Market Last Month Current Month % Change
Americas 5.81 5.61 -3.4%
Europe 2.96 2.89 -2.3%
Japan 2.54 2.54 -0.2%
China 7.83 7.78 -0.7%
Asia Pacific/All Other 8.58 8.78 2.3%
Total 27.72 27.60 -0.4%
Year-to-Year Sales
Market Last Year Current Month % Change
Americas 5.00 5.61 12.2%
Europe 3.06 2.89 -5.6%
Japan 2.84 2.54 -10.7%
China 7.08 7.78 9.9%
Asia Pacific/All Other 8.35 8.78 5.2%
Total 26.34 27.60 4.8%
Three-Month-Moving Average Sales
Market Nov/Dec/Jan Feb/Mar/Apr % Change
Americas 6.51 5.61 -13.8%
Europe 2.95 2.89 -2.0%
Japan 2.62 2.54 -3.0%
China 8.07 7.78 -3.6%
Asia Pacific/All Other 8.40 8.78 4.5%
Total 28.54 27.60 -3.3%

By Lara Chamness, Industry Research and Statistics, SEMI

As the fabless business model has transformed the semiconductor manufacturing landscape, Taiwan and South Korea have undeniably grown into key semiconductor producing regions. However, it should be noted that North America is home to Intel, Texas Instruments, Micron, GLOBALFOUNDRIES, Freescale, Fairchild, Microchip, ON Semiconductor, significant operations of Samsung, and other manufacturers.  As a result, North America accounts for 15 percent (without discretes) of the global total installed fab capacity in 2014 according to the SEMI Fab database.

SEMI graphic 1--2014_Global_Fab_Capacities_0

Due to the presence of leading device manufacturers, North America represents a significant portion of the new equipment market; for the last two years, North America was the second largest market for semiconductor manufacturing equipment. In 2011, North America was the largest market for new equipment. While spending is expected to decline in the region this year, it is anticipated that device manufacturers in North America will still spend about $7 billion on new equipment this year.

SEMI graphic 2--Regional_Equipment_Markets_2010_2014

With such a large installed fab base, North America also claims a significant portion of the wafer fab materials market.  Comparing global fab capacity to global wafer fab market share, North America represents 18 percent of the Wafer Fab Materials market compared to 15 percent of global fab capacity. This is due to the advanced device manufacturing that occurs in the region, which requires more advanced materials which fetch higher average selling prices. The same phenomenon occurs in Taiwan and Europe as well.

SEMI graphic 3--Regional_Wafer_Fab_Materials_Markets

Even though the equipment market is expected to decline in North America this year, the Wafer Fab Materials Market is expected to increase amodest 3 percent. This is due to equipment purchased and installed last year becoming operational. The semiconductor manufacturing market in North America is still very much alive and innovating, whether it be for advanced manufacturing or chip design, companies in North America have proven adept at evolving with the industry.

Plan to attend the SEMI/Gartner Market Symposium at SEMICON West 2015 on Monday, July 13 for an update on the semiconductor market outlook.

Avago Technologies Limited and Broadcom Corporation today announced that they have entered into a definitive agreement under which Avago will acquire Broadcom in a cash and stock transaction that values the combined company at $77 billion in enterprise value. Upon completion of the acquisition, the combined company will have the most diversified communications platform in the semiconductor industry, with combined annual revenues of approximately $15 billion.

“Today’s announcement marks the combination of the unparalleled engineering prowess of Broadcom with Avago’s heritage of technology from HP, AT&T, and LSI Logic, in a landmark transaction for the semiconductor industry,” said Hock Tan, President and Chief Executive Officer of Avago.  “The combination of Avago and Broadcom creates a global diversified leader in wired and wireless communication semiconductors. Avago has established a strong track record of successfully integrating companies onto its platform. Together with Broadcom, we intend to bring the combined company to a level of profitability consistent with Avago’s long-term target model.”

“This transaction benefits all of Broadcom’s key stakeholders,” remarked Scott McGregor, President and Chief Executive Officer of Broadcom.  “Our customers will gain access to a greater breadth of technology and product capability. For our shareholders, the transaction provides both compelling up-front value as well as the opportunity to participate in the future upside of the combined business.”

“When Henry Nicholas and I founded Broadcom, we had a vision of creating the world leader in communications semiconductors. Today’s announcement is a continuation of that vision and we could not think of a better partner for the future than Avago,” stated Dr. Henry Samueli, Co-Founder, Chief Technical Officer and Chairman of the Board of Broadcom.

“The culture that Henry and I created when we founded Broadcom was demanding, execution-oriented, and certainly not guaranteed to mesh with the average technology company,” said Dr. Henry T. Nicholas, Co-Founder and past CEO of Broadcom. “It was, however, a culture that enabled Broadcom to grow exponentially and emerge as the market leader in every major market segment involving broadband communications. In Avago, we have found a culture and a management team that embody the best of the philosophies on which Broadcom was founded, together with a fast-paced, no-nonsense, process-driven business culture that we need to take our combined company to the next level. I am confident that, under the visionary leadership of Hock Tan, the combined company will realize its potential to be the world’s greatest semiconductor company.”

Following completion of the transaction, Mr. Tan, President and Chief Executive Officer of Avago, will continue to serve as President and Chief Executive Officer of the combined company, which will adopt the name Broadcom Limited. Dr. Samueli will join the board of the combined company as will another director from Broadcom. In addition, Dr. Samueli will be appointed Chief Technology Officer of the combined company. Dr. Nicholas will serve in a strategic advisory role within the combined company, reporting to Mr. Tan.

Under the terms of the definitive agreement, Avago will acquire Broadcom for $17 billion in cash consideration and the economic equivalent of approximately 140 million Avago ordinary shares, valued at $20 billion as of May 27, 2015, resulting in Broadcom shareholders owning approximately 32 percent of the combined company. Based on Avago’s closing share price as of May 27, 2015, the implied value of the total transaction consideration for Broadcom is $37 billion.

The transaction has been unanimously approved by the boards of directors of both companies, as well as a special committee of the independent directors of Broadcom. Dr. Samueli and Dr. Nicholas, the founders of Broadcom, have signed support agreements to vote to approve the transaction. Closing of the transaction is expected by the end of the first calendar quarter of 2016, and is subject to regulatory approvals in various jurisdictions, as well as the approval of Avago’s and Broadcom’s shareholders.

North America-based manufacturers of semiconductor equipment posted $1.57 billion in orders worldwide in April 2015 (three-month average basis) and a book-to-bill ratio of 1.04, according to the April EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.04 means that $104 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in April 2015 was $1.57 billion. The bookings figure is 12.9 percent higher than the final March 2015 level of $1.39 billion, and is 9.0 percent higher than the April 2014 order level of $1.44 billion.

The three-month average of worldwide billings in April 2015 was $1.51 billion. The billings figure is 19.3 percent higher than the final March 2015 level of $1.27 billion, and is 7.6 percent higher than the April 2014 billings level of $1.40 billion.

“Both bookings and billings trends have improved, with the ratio remaining above parity over the past four months,” said Denny McGuirk, president and CEO of SEMI.  “Orders are higher than last year’s numbers, and current spending is on target with 2015 capex plans.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

November 2014 

$1,189.4

$1,216.8

1.02

December 2014 

$1,395.9

$1,381.5

0.99

January 2015 

$1,279.1

$1,325.6

1.04

February 2015 

$1,280.1

$1,313.7

1.03

March 2015 (final)

$1,265.6

$1,392.7

1.10

April 2015 (prelim)

$1,510.3

$1,572.2

1.04

Source: SEMI (www.semi.org)May 2015

IC Insights will release its May Update to the 2015 McClean Report later this month.  This Update includes a discussion of the history and evolution of IC industry cycles, an update of the capital spending forecast by company, and a look at the top 25 1Q15 semiconductor suppliers (the top 20 1Q15 semiconductor suppliers are covered in this research bulletin).

The top 20 worldwide semiconductor (IC and O S D—optoelectronic, sensor, and discrete) sales ranking for 1Q15 is shown in Figure 1.  It includes seven suppliers headquartered in the U.S., four in Japan, three in Taiwan, three in Europe, two in South Korea, and one in Singapore, a relatively broad representation of geographic regions.

The top-20 ranking includes three pure-play foundries (TSMC, GlobalFoundries, and UMC) and four fabless companies.  It is interesting to note that the top four semiconductor suppliers all have different business models. Intel is essentially a pure-play IDM, Samsung a vertically integrated IC supplier, TSMC a pure-play foundry, and Qualcomm a fabless company.

IC Insights includes foundries in the top 20 semiconductor supplier ranking since it has always viewed the ranking as a top supplier list, not a marketshare ranking, and realizes that in some cases the semiconductor sales are double counted.  With many of our clients being vendors to the semiconductor industry (supplying equipment, chemicals, gases, etc.), excluding large IC manufacturers like the foundries would leave significant “holes” in the list of top semiconductor suppliers.  As shown in the listing, the foundries and fabless companies are identified.  In the April Update to The McClean Report, marketshare rankings of IC suppliers by product type were presented and foundries were excluded from these listings.

It should be noted that not all foundry sales should be excluded when attempting to create marketshare data. For example, although Samsung had a large amount of foundry sales in 1Q15, some of its foundry sales were to Apple.  Since Apple does not resell these devices, counting these foundry sales as Samsung IC sales does not introduce double counting.

Figure 1

Figure 1

Overall, the top-20 list shown in Figure 1 is provided as a guideline to identify which companies are the leading semiconductor suppliers, whether they are IDMs, fabless companies, or foundries.

In total, the top 20 semiconductor companies’ sales increased by 9 percent in 1Q15/1Q14 (6 percent excluding the foundries), three points greater than the total worldwide semiconductor industry growth rate.  Although, in total, the top-20 1Q15 semiconductor companies registered a 9 percent increase, there were six companies that displayed >20 percent 1Q15/1Q14 growth.  Nine companies had sales of at least $2.0 billion in 1Q15.  As shown, it took just over $1.1 billion in quarterly sales just to make it into the 1Q15 top-20 semiconductor supplier ranking.

There were two new entrants into the top 20 ranking in 1Q15—Japan-based Sharp and Taiwan-based pure-play foundry UMC, which replaced U.S.-based AMD and Nvidia.  AMD had a particularly rough 1Q15 and saw its sales drop 26 percent year-over-year.  It currently appears that AMD’s 2013 restructuring and new strategy programs to focus on non-PC end-use segments have yet to pay off for the company (in addition to its sales decline, AMD lost $180 million in 1Q15 after losing $403 million in 2014).

Although Intel’s sales were flat in 1Q15, and it believes its 2015 sales will be flat with 2014, it remained firmly in control of the number one spot.  There were, however, some significant changes in the remainder of the top 10 ranking.

SK Hynix continued its ascent up the semiconductor company rankings that started a few years ago and moved into 5th place in 1Q15, displacing Micron.  With Qualcomm’s sales hitting a soft patch and SK Hynix continuing to gain share in the memory market, IC Insights believes that the company could move past Qualcomm into the fourth spot when the full-year sales totals for this year are tallied.

While MediaTek’s growth has slowed somewhat from its torrid pace over the past few years, the company posted a year-over-year sales increase of 12 percent to move into the top 10.  IC Insights believes that MediaTek will remain in this position in the full-year 2015 ranking.

Although Sharp as a whole is having a difficult time, its semiconductor group, which represents only about 14 percent of the company’s corporate sales, posted a whopping 62 percent growth rate (an 88 percent increase in yen), the best 1Q15 sales increase of any top-20 semiconductor supplier.  This sales surge was almost entirely due to the company’s success in the CMOS image sensor market.

As would be expected, given the possible acquisitions and mergers that could occur this year (e.g., NXP/Freescale, GlobalFoundries/IBM’s IC group, etc.), as well as any new ones that may develop, the top 20 semiconductor ranking is likely to undergo a tremendous amount of upheaval over the next couple of years as the semiconductor industry continues along its path to maturity.

Worldwide silicon wafer area shipments increased during the first quarter 2015 when compared to fourth quarter 2014 area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Total silicon wafer area shipments were 2,637 million square inches during the most recent quarter, a 3.4 percent increase from the 2,550 million square inches shipped during the previous quarter, resulting in a new quarterly volume shipment record. New quarterly total area shipments are 11.6 percent higher than first quarter 2014 shipments.

“Total silicon shipment volumes for the first quarter of this year surpassed the record high reached in the third quarter of last year,” said Ginji Yada, chairman of SEMI SMG and general manager, International Sales & Marketing Department of SUMCO Corporation. “Silicon shipments for the most recent quarter benefited from the strong market momentum the semiconductor market enjoyed last year.”

Quarterly Silicon Area Shipment Trends

Millions of Square Inches

Q1 2014

Q3 2014

Q4 2014

Q1 2015

Total

2,363

2,597

2,550

2,637

*Shipments are for semiconductor applications only and do not include solar applications

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, as well as non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

SEMI is the global industry association serving the nano- and micro-electronic manufacturing supply chains. SEMI maintains offices in Bangalore, Beijing, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C.   For more information, visit www.semi.org.

Applied Materials, Inc. today announced its Applied Endura Cirrus  HTX PVD system with breakthrough technology for patterning copper interconnects at 10nm and beyond. As chip features continue to shrink, innovations in hardmask are required to preserve the pattern integrity of tightly packed, tiny interconnect structures.With the introduction of this technology, Applied enables scaling of the TiN metal hardmask – the industry’s material of choice – to meet the patterning needs of copper interconnects in advanced microchips.

“Precision engineering of metal hardmask films is key to addressing the patterning challenges for advanced interconnects,” said Dr. Sundar Ramamurthy, vice president and general manager of Applied’s Metal Deposition Products business unit. “The Cirrus HTX TiN product represents Applied’s decades of expertise in applying PVD technology for engineering TiN film properties. Incorporating our unique VHF-based technology offers customers the flexibility of tuning stress in TiN films from compressive to tensile to overcome their specific integration challenges.”

Today’s advanced microchips can pack 20 kilometers of copper wiring in a 100 square millimeter area, stacked in 10 layers with up to 10 billion vias or vertical connections between layers. The role of the metal hardmask is to preserve the integrity of these patterned lines and vias in soft ULK dielectrics. However, with scaling, the compressive stress from conventional TiN hardmask layers can cause the narrow lines patterned in ULK films to deform or collapse. The tunable Cirrus HTX TiN hardmask with high etch selectivity delivers superior CD line width control and via overlay alignment resulting in yield improvement.

This breakthrough in TiN hardmask is made possible by precision materials engineering at the wafer level to produce a high density, low-stress film. Combining exceptional film thickness uniformity with low defectivity on a proven Endura platform, the Cirrus HTX system addresses the stringent high volume manufacturing needs of patterning multiple interconnect layers.

Applied Materials, Inc. is a developer precision materials engineering solutions for the semiconductor, flat panel display and solar photovoltaic industries.

By Douglas G. Sutherland and David W. Price

Author’s Note: This is the sixth in a series of 10 installments that explore fundamental truths about process control—defect inspection and metrology—for the semiconductor industry. Each article in this series introduces one of the 10 fundamental truths and highlights their implications. Within this article we will use the term inspection to imply either defect inspection or a parametric measurement such as film thickness or critical dimension (CD).

In previous installments we discussed capability, sampling, missed excursions, risk management and variability. Although all of these topics involve an element of time, in this paper we will discuss the importance of timeliness in more detail.

The sixth fundamental truth of process control for the semiconductor IC industry is:

Time is the Enemy of Profitability

There are three main phases to semiconductor manufacturing: research and development (R&D), ramp, and high volume manufacturing (HVM). All of them are expensive and time is a critical element in all three phases.

From a cash-flow perspective, R&D is the most difficult phase: the fab is spending hundreds of thousands of dollars every day on man power and capital equipment with no revenue from the newly developed products to offset that expense. In the ramp phase the fab starts to generate some revenue early on, but the yield and volume are still too low to offset the production costs. Furthermore, this revenue doesn’t even begin to offset the cost of R&D. It is usually not until the early stages of HVM that the fab has sufficient wafer starts and sufficient yield to start recovering the costs of the first two phases and begin making a profit. Figure 1 below shows the cumulative cash flow for the entire process.

Figure 1. The cumulative cash-flow as a function of time. In the R&D phase the cash-flow is negative but the slope of the curve turns positive in the ramp phase as revenues begin to build. The total costs do not turn positive until the beginning of high-volume manufacturing.

Figure 1. The cumulative cash-flow as a function of time. In the R&D phase the cash-flow is negative but the slope of the curve turns positive in the ramp phase as revenues begin to build. The total costs do not turn positive until the beginning of high-volume manufacturing.

What makes all of this even more challenging is that all the while, the prices paid for these new devices are falling. The time required from initial design to when the first chips reach the market is a critical parameter in the fab’s profitability. Figure 2 shows the actual decay curve for the average selling price (ASP) of memory chips from inception to maturity.

Figure 2.  Typical price decline curve for memory products in the first year after product introduction.   Similar trends can be seen for other devices types.

Figure 2. Typical price decline curve for memory products in the first year after product introduction. Similar trends can be seen for other devices types.

Consequently, while the fab is bleeding money on R&D, their ability to recoup those expenses is dwindling as the ASP steadily declines. Anything that can shorten the R&D and ramp phases shortens the time-to-market and allows fabs to realize the higher ASP shown on the left hand side of Figure 2.

From Figures 1 and 2 it is clear that even small delays in completing the R&D or ramp phases can make the difference between a fab that is wildly profitable and one that struggles just to break even. Those organizations that are the first to bring the latest technology to market reap the majority of the reward. This gives them a huge head start—in terms of both time and money—in the development of the next technology node and the whole cycle then repeats itself.

Process control is like a window that allows you to see what is happening at various stages of the manufacturing cycle. Without this, the entire exercise from R&D to HVM would be like trying to build a watch while wearing a blindfold. This analogy is not as far-fetched as it may seem. The features of integrated circuits are far too small to be seen and even when inspections are made, they are usually only done on a small percentage of the total wafers produced. For parametric measurements (films, CD and overlay) measurements are performed only on an infinitesimal percentage of the total transistors on each of the selected wafers. For the vast majority of time, the fab manager truly is blind. Parametric measurements and defect inspection are brief moments when ‘the watch maker’ can take off the blindfold, see the fruits of their labor and make whatever corrections may be required.

As manufacturing processes become more complex with multiple patterning, pitch splitting and other advanced patterning techniques, the risk of not yielding in a timely fashion is higher than ever. Having more process control steps early in the R&D and ramp phases increases the number of windows through which you can see how the process is performing. Investing in the highest quality process control tools improves the quality of these windows. A window that distorts the view—an inspection tool with poor capture rate or a parametric tool with poor accuracy—may be worse than no window at all because it wastes time and may provide misleading data. An effective process control strategy, consisting of the right tools, the right recipes and the right sampling all at the right steps, can significantly reduce the R&D and ramp times.

On a per wafer basis, the amount of process control should be highest in the R&D phase when the yield is near zero and there are more problems to catch and correct. Resolving a single rate-limiting issue in this phase with two fewer cycles of learning—approximately one month—can pay for a significant portion of the total budget spent on process control.

After R&D, the ramp phase is the next most important stage requiring focused attention with very high sampling rates. It’s imperative that the yield be increased to profitable levels as quickly as possible and you can’t do this while blindfolded.

Finally, in the HVM phase an effective process control strategy minimizes risk by discovering yield limiting problems (excursions) in a timely manner.

It’s all about time, as time is money. 

References:

1)     Process Watch: You Can’t Fix What You Can’t Find, Solid State Technology, July 2014

2)     Process Watch: Sampling Matters, Semiconductor Manufacturing and Design, September 2014

3)     Process Watch: The Most Expensive Defect, Solid State Technology, December 2014

4)     Process Watch: Fab Managers Don’t Like Surprises, Solid State Technology, December 2014

5)     Process Watch: Know Your Enemy, Solid State Technology, March 2015 

About the authors:

Dr. David W. Price is a Senior Director at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 10 years, Dr. Price and Dr. Sutherland have worked directly with over 50 semiconductor IC manufacturers to help them optimize their overall inspection strategy to achieve the lowest total cost. This series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

 

Semiconductor Research Corporation (SRC) announced today that Ken Hansen has been appointed SRC’s new President and Chief Executive Officer (CEO), effective June 1.

Hansen’s professional experience includes serving as Vice President and Chief Technology Officer (CTO) at Freescale Semiconductor since 2009. Hansen replaces retiring SRC President and CEO Larry Sumney who guided the organization for more than 30 years since its inception in 1982. SRC’s many accolades over the years include being the recipient of the National Medal of Technology in 2007.

“SRC under Larry Sumney’s leadership has made an indelible impact on the advancement of technology during the past three decades, and we congratulate Larry on his retirement and salute him for his contributions to the semiconductor industry,” said Mike Mayberry, Intel Corporate Vice President and Director of Components Research who is SRC Board Chairman. “We also welcome Ken Hansen to his new role guiding SRC, and we look forward to Ken’s leadership helping SRC reach new heights in an era where basic research and development is as critical as ever.”

Prior to his CTO role at Freescale, Hansen led research and development teams for more than 30 years in multiple senior technology and management positions at Freescale and Motorola. Hansen holds Bachelor and Master of Science degrees in Electrical Engineering from the University of Illinois where he has been recognized as an ECE (Department of Electrical and Computer Engineering) Distinguished Alumni.

In his new role at SRC, Hansen intends to build on the consortium’s mission of driving focused industry research to both advance state-of-the-art technology and continue to create a pipeline of qualified professionals who will serve as next-generation leaders for the industry.

“SRC also has an opportunity to strengthen its core by recruiting new members to gain more leverage to fund industry wide solutions for some of the challenging technology roadblocks that are ahead of us,” said Hansen.

“The model that SRC has developed is unmatched in the industry and has proven to be extremely significant. The industry would not be where it is today without the contributions of SRC under the leadership and vision of Larry Sumney,” Hansen continued.

Meanwhile, Sumney’s decorated career began in 1962 at the Naval Research Laboratory. He later directed various other research programs at Naval Electronics Systems Command and the Office of the Undersecretary of Defense — including the Department of Defense’s major technology initiative, Very High Speed ICs (VHSIC) —before agreeing to lead SRC following its formation by the Semiconductor Industry Association.

Under his leadership, SRC has also formed wholly owned subsidiaries managing the Nanoelectronics Research Initiative (NRI), the Semiconductor Technology Advanced Research network (STARnet) and the SRC Education Alliance, among other programs. Sumney received a Bachelor of Physics from Washington and Jefferson (W&J) College, which recognized him with the 2012 Alumni Achievement Award, and a Master of Engineering Administration from George Washington University.

“I have enjoyed a front row seat in the development of today’s technology-based economy and advancement of humanity through the semiconductor industry,” said Sumney. “I am completely confident that SRC is well positioned and will continue to flourish, to seed breakthrough innovation and help provide the people and ideas to keep the U.S. semiconductor industry competitive and prosperous in years to come.”

Additional industry leaders with strong ties to SRC commended Sumney for his service over the years while supporting Hansen’s appointment.

“Over more than 30 years, Larry Sumney’s visionary leadership of SRC has steered one of the world’s most transformative industries through times of tremendous growth and innovation,” said John Kelly, Senior Vice President, Solutions Portfolio and Research for IBM.  “I’ll personally miss working with Larry, but also have tremendous respect for and confidence in Ken Hansen, and we look forward to collaborating with him to drive the next generation of research in this vital industry.”

“Larry’s leadership and vision are key reasons why SRC’s research has played a fundamental role behind many of the most significant semiconductor innovations of the last three decades,” said Lisa Su, AMD president and CEO and a former SRC student. “Ken’s broad industry experience makes him ideally suited to lead the next phase of the SRC, as the organization continues to expand its capabilities and provide the basic research and development foundation needed to further accelerate innovation across the industry.”