Category Archives: Metrology

Cymer, an ASML company, a developer of lithography light sources used by chipmakers to pattern advanced semiconductor chips, today announced the shipment of its first XLR 700ix light source. Enabling higher scanner throughput and process stability for 14nm chip manufacturing and beyond, the XLR 700ix provides improvements in bandwidth, wavelength and energy stability to reduce process variability and increase yield through improvements in wafer critical dimension (CD) uniformity; software enhancements to increase light source predictability and availability; and reduction in helium and power consumption to decrease operating costs.

Cymer also introduced DynaPulse as a product upgrade option for OnPulse customers. DynaPulse enables chipmakers to extend their capital investment and achieve the same performance improvements standard in the XLR 700ix to their ArF immersion installed base. Essentially eliminating bandwidth as a source of variation to improve on-wafer critical dimension (CD) uniformity, the XLR 700ix and DynaPulse utilize the same patented technology to tightly control bandwidth specifications (300+5fm) and achieve stable on-wafer performance.

“Customers have recognized the new performance, process stability and sustainability improvements of the XLR 700ix to enable higher system efficiency for leading-edge manufacturing applications, and are eager to realize the same benefits within their installed base,” said Ed Brown, Chief Executive Officer of Cymer Light Source. “DynaPulse now makes it easier for chipmakers to achieve a high level of performance and productivity across their entire ArF immersion light source fleet.”

From enhanced service to product upgrade options, such as SmartPulse and DynaPulse, OnPulse customers experience reduced cost of operation, enhanced productivity and predictable costs that scale directly with wafer production. For example, the SmartPulse data capture and analysis tool enables chipmakers to better monitor key light source parameters in real-time, with field-to-field resolution, prevent excursions and make adjustments to achieve a high level of performance, and ultimately increase wafer output per tool. SmartPulse enables chipmakers to better monitor and keep light sources within tighter bandwidth control achieved with DynaPulse.

As the newest additions to the family, XLR 700ix and DynaPulse demonstrate Cymer’s continued investment in research and development to support DUV technology extensions for 14nm chip manufacturing and beyond.

North America-based manufacturers of semiconductor equipment posted $1.31 billion in orders worldwide in January 2015 (three-month average basis) and a book-to-bill ratio of 1.03, according to the January EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.03 means that $103 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in January 2015 was $1.31 billion. The bookings figure is 4.9 percent lower than the final December 2014 level of $1.38 billion, and is 2.6 percent higher than the January 2014 order level of $1.28 billion.

The three-month average of worldwide billings in January 2015 was $1.28 billion. The billings figure is 8.6 percent lower than the final December 2014 level of $1.40 billion, and is 3.5 percent higher than the January 2014 billings level of $1.23 billion.

“2014 was a strong growth year for the semiconductor equipment industry, and both bookings and billings at the start of this year are comparable to the early 2014 figures,” said SEMI president and CEO Denny McGuirk. “Given the positive outlook for the semiconductor industry in 2015 and based on current capex announcements, we expect the equipment market to continue to grow this year.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

August 2014 

$1,293.4

$1,346.1

1.04

September 2014 

$1,256.5

$1,186.2

0.94

October 2014 

$1,184.2

$1,102.3

0.93

November 2014 

$1,189.4

$1,216.8

1.02

December 2014 (final)

$1,395.9

$1,381.5

0.99

January 2015 (prelim)

$1,276.3

$1,313.6

1.03

Source: SEMI, February 2015

Today, KLA- Tencor Corporation introduced two advanced metrology systems that support the development and production of 16nm and below IC devices: Archer 500LCM and SpectraFilm LD10. The Archer 500LCM overlay metrology system provides accurate overlay error feedback through all stages of the yield ramp, helping chipmakers resolve overlay issues associated with innovative patterning techniques, such as multi-patterning and spacer pitch splitting. Through reliable, precise measurement of film thickness and stress, the SpectraFilm LD10 films metrology system enables qualification and monitoring of the films and film stacks used in fabrication of FinFETs, 3D NAND and other leading-edge devices. The new systems are key products in KLA-Tencor’s unique 5D patterning control solution, which drives optimal patterning results through the characterization and monitoring of fab-wide processes.

“As the industry leader in non-destructive optical metrology, we have closely collaborated with our customers to understand their challenges in optimizing pattern overlay, critical dimensions and films quality,” stated Ahmad Khan, group vice president of KLA-Tencor’s Parametric Solutions Group. “Across foundry, logic and memory, our customers require production-capable metrology systems that produce the data necessary to decipher complex process issues. Full-featured metrology systems, such as our new Archer 500LCM and SpectraFilm LD10 platforms, implement multiple innovations that facilitate measurement flexibility across a broad range of applications, helping our customers drive current-node yield and investigate next-node technologies.”

With both imaging and unique laser-based scatterometry measurement technologies, the Archer 500LCM overlay metrology system offers a wide range of measurement options and supports a diverse range of overlay measurement target designs, such as in-die, small pitch and multi-layer targets. This flexibility enables cost-effective generation of accurate overlay error data that can be used for scanner corrections or for identification of inline excursions, helping engineers determine when to re-work wafers or adjust processes to meet strict patterning requirements. Multiple Archer 500LCM systems are in use at foundry, logic and memory manufacturers worldwide where they provide an independent assessment of overlay performance for advanced development and high volume production.

The SpectraFilm LD10 introduces a laser-driven plasma light source, producing reliable, high-precision film measurements for a broad range of film layers, including the thin, multilayer film stacks used in forming complex device structures such as FinFETs. Characterization of the thick, multilayer film stacks found in 3D NAND flash devices is enabled with a new infrared-based subsystem. With a significant increase in throughput compared to the previous-generation Aleris® platform, the SpectraFilm LD10 maintains high productivity while qualifying and monitoring the increased number of film layers associated with multi-patterning and other leading-edge fabrication techniques. Multiple SpectraFilm LD10 orders have been placed for use in advanced IC development and production.

The Archer 500LCM and SpectraFilm LD10 systems join the SpectraShape 9000 critical dimension and device profile metrology platform, K-T Analyzer advanced data analysis system and many other process control systems in supporting KLA-Tencor’s comprehensive 5D patterning control solution. To maintain the high performance and productivity demanded by leading-edge IC manufacturing, the Archer 500LCM and SpectraFilm LD10 systems are backed by KLA-Tencor’s global, comprehensive service network.

China’s new industry investment and government promotion policies outlined in the recent “National Guidelines for Development and Promotion of the IC Industry” represents major opportunities for China and global semiconductor companies. The details of the policy and its implementation are being closely watched by the global industry for the resources China’s government has dedicated and potential impact to the global semiconductor manufacturing supply chain. During SEMICON China 2015, to be held March 17-19 in Shanghai, SEMI organized Market and Investment forums where key government decision makers, IC fund managers, and global industry analysts will share their insights on the policy and impact to the industry. SEMI expects record numbers of global industry executives to attend the world’s largest microelectronics manufacturing exposition to learn about these semiconductor and emerging/adjacent markets opportunities.

The China “National Guidelines for the Development and Promotion of the IC Industry” sets ambitious targets and sizable support for a China National IC industry investment fund. The combined investment in fabless, IDMs, foundries, and OSATs aims to spur industry at annualized growth rates above 20 percent through 2020. China’s ambitious targets cover: IC manufacturing, IC design, IC packaging and test, materials, and equipment. SEMI estimates the implemented investment plan could reach US$100 billion with the total government and associated local industry funding.

“The rapid development of the semiconductor industry in China has already formed an industry base of domestic enterprises. The unprecedented scale of new industry investment signaled by government plans is likely to further impact the global industry landscape,” said Allen Lu, president of SEMI China. “We are pleased to see significant interest in SEMICON China 2015 as an international gathering — with comprehensive attendance from our industry — to identity the latest business intelligence, global trade prospects, and collaboration opportunities.”

Global companies looking to understand the opportunities, challenges, and risks of China’s investment plans will be participating in events and key forums, including the Semiconductor Market and China Opportunity Forum, Tech Investment Forum-China 2015, Build China’s IC Ecosystem Forum, and China Equipment and Materials Forum. SEMICON China is co-located with FPD China and the LED China Conference, leveraging synergies with these emerging and adjacent markets. Featuring more than 900 exhibitors occupying more than 2,600 booths, SEMICON China is the largest exposition of its kind in China with over 50,000 people expected to attend.

The event will present a comprehensive set of topics through its exhibition, keynote addresses, executive panels, technical and business forums and full-day technology conferences. Grand Opening keynote presenters include: Lisa Su, president and CEO of AMD; Tzu-Yin Chiu, CEO and executive director of SMIC; Xinchao Wang, chairman and CEO of JCET; Simon Yang, president and CEO of XMC; and Michael Hurlston, EVP at Broadcom; and Lei Shi, president of Nantong Fujitsu Microelectronics.

Semiconductor Market and China Opportunity” forum speakers include:

  • Zixue Zhou, chief economist, Ministry of Industry and Information Technology (MIIT); vice chairman and secretary-general, China Information Technology Industry Federation (Zhou is a vice minister-level MIIT official and the chief architect of the new China IC initiatives with setting up of the National IC Fund)
  • Professor Shaojun Wei, director, Institute of Microelectronics, Tsinghua University (Wei is also the leader of the expert group overseeing China’s National Project 01 responsible for growing China’s core competencies in computing and communication). Plus Handel Jones, founder and CEO of IBS; Jim Feldhan, president, Semico Research; and Dan Tracy, senior director, Industry Research and Statistics, SEMI

Tech Investment Forum—China 2015” forum speakers include: Mr. Wenwu Ding, the CEO of the newly formed China National IC Fund (Ding has been a director-general of MIIT in charge of Semiconductor industry); Yongzhi Jiang, managing director of Goldman Sachs Securities in charge of M&A; Lip-Bu Tan, founder and chairman of Walden International and CEO of Cadence; and fund managers from CGP Investment, GM E-town Capital, Summitview Capital and Shenzhen Capital.

Build China’s IC Ecosystem” forum is chaired by Professor Shaojun Wei, with speakers from the complete supply chain from IC design, device makers, to equipment manufacturers. Presenters include executives from Verisilicon, SMIC, XMC, ASMC, JCET, Northern Micro Electronics, and Applied Materials.

China Equipment and Materials Forum” includes speakers from China and global companies: Sevenstar, TEL, ACM Research, and Shanghai Sinyang with a panel discussion moderated by Mr. Tianchun Ye, director of the Institute of Microelectronics, China Academy of Sciences (Mr. Ye is also the leader of expert group of National Project 02 overseeing developing China’s semiconductor manufacturing core-competencies).

This year’s event features six technical conferences: Mobile Technology Enabled by Semiconductors, China Equipment and Materials Forum, Building China’s IC Ecosystem, Advanced Packaging, LED China Conference, and Intelligent Wearable Industry Seminar.  Business programs include: Tech Investment Forum and Semiconductor Market and China Opportunity. Keynote speakers from Intel, IBM, ITRI, University of California, and SMIC will present. ­ FPD China 2015 features special programs on OLED displays, LCD displays, Oxide and LTPS Displays, and Printing Displays and Touch Screens.

China Semiconductor Technology International Conference (CSTIC) is also co-located at SEMICON China. Organized by SEMI and  IEEE-EDS , co-organized by China’s High-Tech Expert Committee (CHTEC), and co-sponsored by ECS, MRS and the China Electronics Materials Industry Association, CSTIC 2015 will cover all aspects of semiconductor technology and manufacturing (more than 300 papers), including devices, design, lithography, integration, materials, processes, and manufacturing, as well as emerging semiconductor technologies and silicon material applications. Hot topics, such as 3D integration, III-V semiconductors, carbon nano-electronics, LEDs, MEMS and Photovoltaic Technology will also be addressed in the conference. (CPTIC 2015 has joined CSTIC 2015 as Symposium XII).

Sponsors of SEMICON China 2015 include: Tokyo Electron, Laytec, SMIC, Huahong Group, JCET, Disco, Edwards, Advantest, Vastity, Shanghai Sinyang, Spirox, and many others.

At next week’s SPIE advanced lithography conference, to be held in San Jose, Calif., Feb. 22-26, imec will present breakthrough results on Directed Self-Assembly (DSA) process development. Together with semiconductor equipment supplier Tokyo Electron and Merck, a chemical and pharmaceutical company that acquired AZ Electronic Materials in May 2014, imec has significantly improved DSA defectivity in the past year, approaching single-digit values.

Additionally, the partners have developed a DSA solution for a via patterning process compatible with the 7nm technology node. Furthermore, imec has developed a new chemo-epitaxy flow for 30nm and 45nm pitch hexagonal holes patterning using a single 193nm immersion exposure, envisioning DSA patterning for the storage-node for DRAM applications.

Reducing defectivity in DSA and improving patterning reliability is one of the main roadblocks to creating an industrially-viable DSA patterning process to push 193nm immersion litho beyond its current limits. Imec and its partners, Merck and Tokyo Electron, have made significant progress on this aspect, achieving best-in-class defectivity values of 24 defects/cm2.

“Over the past few years, we have realized a reduction of DSA defectivity by a factor 10 every six months,” stated An Steegen, senior vice president of process technologies at imec. “Together, with Merck and Tokyo Electron, providing state-of-the-art DSA materials and processing equipment, we are looking ahead at two different promising DSA processes that will further improve defectivity values in the coming months. Our processes show the potential to achieve single-digit defectivity values in the near future without any technical roadblocks lying ahead.”

Imec, Merck and Tokyo Electron also achieved breakthroughs in two other barriers in the development of DSA patterning solutions. First, decomposition of an N7 compatible via layer was achieved.  This required a novel templated DSA process with polystyrene (PS)-wetting sidewalls of the template pre-pattern. This process allows to significantly reduce the critical dimension (CD) of the template, in comparison to using the conventional a polymethylmetacrylate (PMMA)-wetting scheme. Second, an etch process has been developed to transfer the small vias (~15nm CD) into the underlying hard mask with excellent open hole rate.

imec image005

Furthermore, imec has developed a new chemo-epitaxy flow for patterning of highly dense 45nm pitch hexagonal hole arrays. The process paves the way to single patterning 193nm immersion lithography in DRAM applications. Cost is crucial in standalone memory, and DRAM scaling will heavily rely on advanced patterning techniques enabling ≤ 45nm storage node pitch with a minimal number of steps for D14 and beyond.

imec image006

“In today’s consolidating semiconductor landscape, equipment and material suppliers are playing a key role in tackling the scaling challenges and accelerating technology advancements. Our progress on DSA process development is a testament to this, and the result of a deeply concentrated collaboration with Tokyo Electron and Merck, providing the advanced process tooling and materials knowledge paramount to achieve these breakthroughs.” added Steegen. “As an answer to the evolutions in the industry, we are setting up a supplier hub, aiming to offer a neutral, open innovation R&D platform that closely involves suppliers at an early process step and module development stage and allows for efficient cost sharing, minimized risk and optimized return on investment for all in the semiconductor ecosystem. Following recent announcements concerning imec’s equipment supplier hub, which has already resulted in research acceleration, we are now increasing our efforts to build a material supplier hub, which will be a focus in 2015.”

On October 26-27, 2015, imec will organize, in collaboration with SEMATECH, EIDEC and CEA-Leti, the 1st International Symposium on DSA. The aim of the symposium is to identify key remaining challenges for insertion of DSA into semiconductor manufacturing and to identify potential solutions. More information http://www.dsasymposium.org/

SEMI, the global trade organization representing the nano- and micro-electronic manufacturing supply chains, lauds the significant breakthrough in U.S. export restrictions for semiconductor equipment producers. This week, after a four-month investigation, the U.S. Department of Commerce declared the export controls on certain etch equipment and technology ineffective, thereby removing a cumbersome and onerous impediment to efficient trade.

With this positive ruling, SEMI (www.semi.org) will now work with U.S. officials to decontrol etch equipment and technology at the international level, pushing for removal from the multilateral export control regime known as the Wassenaar Arrangement (WA) — the governing body consisting of 41 participating states, including the U.S.  While pushing for WA removal, SEMI will also work in the interim to attain a license exception for these products in order to immediately grant U.S. companies this free export status.

“SEMI stands for free trade and open markets to support the development and success of the global semiconductor manufacturing industry supply chain,” said Denny McGuirk, president and CEO of SEMI. “We applaud the decontrol of semiconductor etch equipment as a rational response to current technology, trade, and commercial realities. This is a win for both equipment makers and their customers operating in the global market.”

On July 16, following years of discussion and negotiations, SEMI submitted a formal petition for the Commerce Department’s Bureau of Industry and Security (BIS) to examine the foreign availably of anisotropic plasma dry etching equipment controlled for national security reasons under Export Control Classification Number (ECCN) 3B001.c on the Commerce Control List. Having identified an indigenous Chinese manufacturing capability, SEMI supplied BIS with in-depth analysis in order to assist in their determination. The examination, known as a Foreign Availability Assessment (FAA), was formally initiated by BIS on September 8 as the first of its kind in more than 20 years.

The FAA culminated in today’s determination, which validates the U.S. support for the decontrol of etch products. Prior to this, U.S. companies faced an uneven playing field in the market, competing with foreign companies that operate without the burdensome regulations and processes imposed by the U.S. Commerce Department.  The recognition of comparable products overseas negates the national security constraints that have vexed U.S. industry for many years.

SEMI collaborated with an international group of public policy and trade professionals from member companies in this effort, including Chinese etch equipment maker AMEC, whose cooperation helped validate the foreign availability assertion.

“The Commerce Department’s decision to remove export control restrictions for etch equipment is a big victory for the U.S. semiconductor equipment sector and our customers around the world,” said Randhir Thakur, executive vice president and general manager of the Silicon Systems Group at Applied Materials. “Recognizing the availability of these tools will help fuel growth and promote the success of the global industry supply chain.”

For more information, you may review the Commerce Department’s notice in the U.S. Federal Register at:  https://www.federalregister.gov/articles/2015/02/09/2015-02681/foreign-availability-determination-anisotropic-plasma-dry-etching-equipment

By Jeff Dorsch, Contributing Editor

Applied Materials on Wednesday reported that its proposed merger with Tokyo Electron Ltd. (TEL) is still under way, without giving a deadline or expected date of conclusion.

President and CEO Gary Dickerson said the company is “making progress with regulators” and plans to “complete the merger as soon as possible.” He declined to elaborate on that point, on advice of its attorneys.

Applied and TEL teams are working together to fulfill “the strategic opportunity this merger creates,” Dickerson said.

For the fiscal first quarter ended January 25, Applied received orders of $2.27 billion, up 1 percent from the fourth fiscal quarter and down 1 percent from a year earlier. The company posted sales of $2.36 billion, an increase of 4 percent from Q4 and up 8 percent from a year ago. Net income was $338 million, up 21 percent from the previous year’s $279 million.

“Major technology inflections in semiconductor and display are creating new growth opportunities for Applied`s precision materials engineering products and services,” Dickerson said in a statement. “With focus and execution, we are gaining momentum toward our long-term strategic goals, and this progress will be accelerated by our planned merger with Tokyo Electron.”

Applied forecasts sales in the second fiscal quarter will be flat to up a couple of percentage points from Q1. Dickerson said memory chips will drive demand for equipment in the fiscal first half, and the second half will see growth from foundries placing orders for equipment to be used in producing devices with FinFETs.

The building blocks are described that can be used to fabricate other novel device architectures that can take advantage of the unique properties of graphene or other interesting single-layer (i.e., 2D) materials.

BY V. KAUSHIK, N. AGBODO, H. CHUNG, M. HATZISTERGOS, B. JI, P. KHARE, T. LAURSEN, D. LOVELL, A. MESFIN, T. MURRAY, S. NOVAK, H. STAMPER, D. STEINKE, S. VIVEKANAND, T. VO, M. PASSARO AND M. LIEHR, College of Na- noscale Science and Engineering, SUNY Polytechnic Institute, Albany NY.

Graphene is a 2-dimensional sheet of sp2-hybridized carbon atoms with unique physical, mechanical and electrical properties. Commonly found in its multi-layer form, graphite, its isolation as a single-layer has received major attention in the literature for CMOS applications in low-power, high-mobility, analog and radio-frequency devices [1-5]. Single layers of graphene can be obtained by exfoliation from graphite, thermal decomposition on SiC surfaces [6], or chemical vapor deposition (CVD) on metallic surfaces such as copper or nickel. For the evaluation of its compatibility with silicon-based CMOS, it is necessary to study graphene layers on silicon wafers. In this article, we demonstrate the introduction of single-layer graphene — grown by CVD and transferred to 300mm Si wafers — into a state-of-the-art CMOS fab, and the further processing of these wafers using advanced CMOS techniques in order to obtain working graphene-channel FETs. The fabrication steps developed in this work, chosen to minimize impacts to graphene quality during fab-based processing, can serve as building blocks for future research in conventional and novel device architectures.

Graphene growth, etch and transfer

Graphene was grown by low-pressure CVD in a typical tube furnace on commercially available Cu foil at ~950C by CH4 cracking. Prior to graphene transfer, a thermal- release tape was placed on the Cu foil to serve as a support for further processing. The Cu foil was then etched using a mixture of hydrochloric acid, hydrogen peroxide and de-ionized (DI) water. Multiple sequences of this etch were used to minimize re-deposition of the etched copper onto the tape with graphene, followed by a final DI water rinse, leaving only the graphene and tape remaining. The tape and graphene adhesion was then placed on a 300mm Si wafer or a Si wafer capped with SiO2 (SiO2/Si) and heated to remove the tape, resulting in a wafer with graphene on its surface. (Although the use of PMMA [poly methyl acrylate] has been reported [7] as a suitable support film for graphene transfer, we did not use it since the PMMA is typically dissolved in acetone, a solvent that is incompatible with 300mm fab integration due to health and safety considerations.)

Due to the use of Cu foil and laboratory instruments, the graphene growth, etch and transfer processes have the potential to leave residual metal contamination on the wafer. Since the introduction of these wafers into the fab for further processing requires demonstration of low levels of metal contaminants, the handling of the graphene-on-Cu foil and the Cu-etching was performed by avoiding the use of metallic instruments. The detection of metallic ions on the transferred graphene was performed by TXRF (Total-reflection X-Ray Fluorescence), which is a highly surface sensitive technique. Using this feedback, we were able to determine that the use of ceramic scissors for cutting the foil, ceramic tweezers for handling the tape and foil, and a well-ventilated clean laminar-flow hood area were effective in reducing metallic contaminants.

FIGURE 1A shows an optical micrograph of graphene transferred on a SiO2/Si wafer and post-transfer cleans. While graphene covers most of the wafer surface, some gaps were observed due to imperfections in the transfer process. The use of thermal tape can potentially cause tape residue to remain on the graphene as well (shown in Figure 1a), which is partly mitigated by post-transfer cleans.

FIGURE 1B shows TXRF data of the concentration of metallic contaminants after graphene transfer and after post-transfer cleans using HCl chemistry. TXRF spectra of the SiO2/Si wafer after transfer showed high levels of metallic Cu, Fe and Ti. Post-transfer cleans of the wafer reduced the metallic contamination levels to ~5E10 at/ cm2.

Raman spectroscopy is the technique most often used to measure the quality of monolayer graphene [2,8]. High quality graphene shows distinct peaks at 1580cm-1 (G peak) and 2690cm-1 (2D peak). In undamaged graphene the 2D peak is a factor of two higher than the G peak, with this ratio decreasing as the layer accumulates damage. In addition, damaging the graphene causes the appearance of a peak at 1350cm-1 (D peak). These features have been used to monitor the quality of the graphene layers at various stages in our process. FIGURE 1C shows a Raman spectrum of the graphene immediately following the transfer. The intensities of the 2D- and G-peaks are consistent with those for single-layer graphene. The low intensity of the D-peak in FIGURE 1D confirms that wet clean sequences used did not significantly degrade the electrical and physical properties of the graphene.

Graphene 1-A Graphene 1-B Graphene 1-CGraphene 1-D replace

FIGURE 1. Shown is an optical micrograph of the graphene after transfer on to a SiO2/Si wafer and cleans indicating areas with graphene (A), areas with no graphene ((B) and suspected tape residue (C).Figure 1b shows metal contamination levels determined from TXRF spectra for as-transfer and after wet cleans. Figure 1c and d show Raman spectra obtained from graphene on SiO2 wafer before and after wet- cleans respectively showing no degradation in single-layer graphene quality.

Device fabrication: Gate and dielectric formation

A simple MOSFET-like integration scheme using graphene as the channel material was chosen to demonstrate the processing of graphene in our 300mm line. For best device performance, a high quality gate dielectric is required, and several dielectric layers deposited over graphene were evaluated using Raman spectroscopy to observe their effects on graphene quality. Processes that involved high temperatures — e.g., CVD or plasmas — introduced defects into the graphene, as is evident from a D-peaks shown in FIGURES 2A and 2B, thus ruling out typical gate dielectric layers available in CMOS fabs. Atomic layer deposition (ALD) processes have been reported to show poor nucleation on the graphene surface due to a lack of available bonds [9]. Evaporation processes, reported to be effective after depositing a thin metal on top of the graphene which is then oxidized, are not well suited to modern high volume manufacturing fabs. To circumvent these problems, we ‘inverted’ the conventional MOSFET structure using buried gates [10]. In this scheme, tungsten gate electrodes were fabricated in thermal oxide by a damascene process. After these electrodes were in place, a gate-quality 4nm HfO2 dielectric was deposited using an ALD process. Graphene was then transferred onto this HfO2 surface. This approach eliminates the need for a gate-quality dielectric deposition over the graphene. FIGURE 3A shows the process sequence for the device while FIGURE 3B shows a schematic of the device structure.

Graphene 2-A Graphene 2-B Graphene 2-C Graphene 2-D

 

FIGURE 2. Shown are Raman spectra of the graphene layer with D-peak indicative of damage after after a) plasma oxidation and b) PVD metal deposition and oxidation. Figures 2c-d show Raman spectra after c) spin-on dielectric deposition and d) after subsequent bake anneal indicating a reduction 2D/G peak ratio but no D-peak.

In order to process the wafers after graphene transfer, we capped the graphene with a spin-on dielectric film to protect its quality. We were thus able to avoid the above mentioned issues of high temperature, plasma processing, and nucleation. The spin-on dielectric film was ~35nm thick and allowed the graphene layer to withstand higher temperature and plasma processes, including film depositions, anneals, and reactive ion etching (RIE). With no discernible D-peak, the Raman spectra in FIGURES 2C AND 2D show that the capping layer preserved graphene quality.

Figure 3a: Schematic of process steps used in the fabrication of graphene-channel devices.

Figure 3a: Schematic of process steps used in the fabrication of graphene-channel devices.

Graphene 3-B

FIGURE 3B. Schematic of device structure to exercise process steps.

Subsequently, a photolithography step followed by an RIE process was used to pattern the active area and to remove the capping dielectric, graphene, and gate oxide from the field area (FIGURE 4A). With the active graphene area patterned, the process then moved to the contact module.

Device fabrication: Contact formation

The formation of metal contacts to graphene is one of the more challenging aspects of fabricating a graphene device in a modern fab. Most of the available literature reports the use of e-beam evaporation and lift-off techniques to form metal contacts to graphene [11,12]. However, these techniques and the typical metals used (Au, Au-Pd, Cr) are more suited to a lab environment than a high-volume Si fab. We used a conventional damascene contact process and a plated Cu-based metallurgy, which introduced challenges associated with the contact open etch and cleans, and during metal depositions.

In this study, the contact stack consisted of conventional nitride and oxide that was planarized using chemical-mechanical polishing (CMP). Immersion lithography was used to define contacts with dimensions ranging from 100nm to 350nm. After the dry etch process, the wafers were cleaned using a wet chemistry compatible with the exposed graphene. A modified metal barrier/liner/seed process was then used to initiate the metallization process in the contacts, followed by CMP of the metal overburden. Contact to the graphene was made along the circumference of the contact plug, which has been reported to be more effective than top contact schemes [13]. The contact module was followed by a standard metallization module using a damascene copper process to fabricate the pads for automated in-line testing of the graphene FETs. Future work will include further optimization of etches and variations of liner metallurgy and contact architecture (top vs. edge) to study the effects on contact resistance and device performance.

Electrical test results

The devices were tested using DC current-voltage sweeps on various graphene-channel MOSFETs (GFETs) using a standard parametric tester. Two-point transport measurements demonstrated the MOSFETs’ gate-voltage-induced resistance modulations. A typical transport curve is shown in FIGURE 4D. Transistor behavior was observed in GFET devices with various graphene channel widths ranging from 1μm to 10μm. GFET channel widths ranging from 50nm to 10μm were controlled by the patterned back gates. Low operating voltages (with Vg swept from -1V to 1V) were achieved due to our utilization of a thin high-k dielectric. The Dirac point in FIGURE 4D is shown to be nominally at 0V with the gate resolution at 50mV, which is the step-size of the sweep. Since we only used 2-point testing, the measured total resistance includes the channel resistance, series resistance of graphene area not covered by the gate, and the graphene-metal contact resistance. While this limits our ability to characterize the intrinsic GFET transport property, it does point to the challenges for the fabrications of product-like devices; i.e., significant reductions of contact and series resistances are definitely required.

Graphene 4-A Graphene 4-B Graphene 4-C Graphene 4-D

 

FIGURE 4:  4a shows XSEM of metal gate and active region after pattern and etch. Figure 4b shows lower magnification view of copper contacts through insulator and metal at top. Figure 4c shows higher magnification view of 100nm contact. Dotted line shows expected location of graphene. Figure 4d shows a transport curve of graphene FETs using a 2-point transport measurement on an in-line parametric tester. The gate voltage is controlled by the patterned back gate. The total resistance includes the channel resistance, series resistance of graphene area that is not covered by the gate, and the graphene-metal contact resistance. 

Conclusion

We have demonstrated that working MOSFETs with graphene channels can be fabricated in a conventional 300mm CMOS fabrication line using state-of-the-art process tools. The building blocks shown here can be used to fabricate other novel device architectures that can take advantage of the unique properties of graphene or other interesting single-layer (i.e., 2D) materials. Further optimization of graphene transfer and contact schemes intended to reduce overall resistance are ongoing and will be reported in subsequent publications.

Acknowledgement

The authors acknowledge the support of Profs. Alain Diebold and Ji-Ung Lee.

References

1. ‘‘Electric field effect in atomically thin carbon films,’’ by K. S. Novoselov, A. K. Geim, S. V. Morozov, et al., in Science, vol. 306, pp. 666–669, 2004.
2. “Honeycomb Carbon: A review of graphene” by M.J. Allen, V.C. Tung & R.B. Kaner in Chemical Review, 110, 132-145, 2010.
3. “Graphene Electronics: Materials, Devices, and Circuits” by Y. Wu, D.B. Farmer, F. Xia, and P. Avouris in Proceedings of the IEEE, Vol. 101, No. 7, 2013.
4. “Unusual transport properties in carbon- based nanoscale materials: nanotubes and graphene” by M. Purewal, Y. Zhang and P. Kim in Phys. Stat. Sol. (b) 243, No. 13, 3418–3422 (2006)
5. “On the importance of band gap formation in graphene for analog device application” by S. Das and J. Appenzeller, in IEEE Trans. Nanotechnol., vol. 10, no. 5, pp.
1093–1098, Sep. 2011.
6. “Epitaxial Graphene: Designing a new electronics material” by W. De Heer, C. Berger, X. Wu et al., in ECS Transactions
19 (5) 95-105 2009 [7]. “Toward Clean and Crackless Transfer of Graphene” by X. Liang, B. Sperling,I. Calizo, et al., in ACS Nano. VOL. 5 ’ NO. 11 ’ 9144–9153 ’ 2011
7. “ Toward Clean and Crackless Transfer of Graphene” by X. Liang, B. Sperling,I. Calizo, et al., in ACS Nano. VOL. 5 ’ NO. 11 ’ 9144–9153 ’ 2011
8. “Raman Spectrum of Graphene and Graphene Layers”, A. C. Ferrari, J. C. Meyer, V. Scardaci, et al. in Phys. Rev. Lett. 97, 187401 (2006).
9. “Scaling of Al2O3 dielectric for graphenefield-effect transistors”, B. Fallahazad, K. Lee, G. Lian, S. Kim, C. Corbet, D. Ferrer, L. Colombo and E. Tutuc in Applied Physics Letters, 100, 093112 (2012)
10. “Angle-dependent carrier transmission in graphene p-n junctions”, by S. Sutar, E. Comfort and J-U. Lee in ACS Nano. Lett. Vol. 12, pg 4460 (2012).
11. “Contacting graphene” by J.A. Robinson, M. LaBella, M. Zhu et al., in Applied Physics Letters, 98, 053103, 2011.
12. “Understanding the Electrical Impact of Edge Contacts in Few-Layer Graphene” by T. Chu & Z. Chen in ACS Nano. Vol. 8 ’ No. 4 3584–3589 2014.
13. “One-Dimensional Electrical Contact to a Two-Dimensional Material” by L. Wang, I. Meric, P. Y. Huang et al, in Science 1 November2013:Vol.342 no.6158 pp.614-617

The authors are with the College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 257 Fuller Rd, Albany NY 12203. 

A novel approach to growing nanowires promises a new means of control over their light-emitting and electronic properties. In a recent issue of Nano Letters, scientists from the U.S. Department of Energy’s Lawrence Berkeley National Lab (Berkeley Lab) demonstrated a new growth technique that uses specially engineered catalysts. These catalysts, which are precursors to growing the nanowires, have given scientists more options than ever in turning the color of light-emitting nanowires.

The new approach could potentially be applied to a variety of materials and be used for making next-generation devices such as solar cells, light emitting diodes, high power electronics and more, says Shaul Aloni, staff scientist at Berkeley Lab’s Molecular Foundry, a DOE user facility, and lead author on the study.

Since the early 2000s, scientists have made steady progress in cultivating nanowires. Initially, early nanowire samples resembled “tangled noodles or wildfire-ravaged forests,” according to the researchers. More recently, scientists have found various conditions lead to the growth of more orderly nanowire arrays.

For instance, certain substrates on which the nanowires grow create conditions so that the nanowire growth orientation is dictated by the substrate’s underlying crystal structure. Unfortunately, this and other approaches haven’t been foolproof and some nanowires still go rogue.

Moreover, there is no simple way to grow different types of nanowires in the same environment and on the same substrate. This would be useful if you wanted to selectively grow nanowires with different electronic or optical properties in the same batch, for example.

“At the Molecular Foundry we are aiming to develop new strategies and add new tools to the bag of tricks used for nanomaterials synthesis,” says Aloni. “For years we were searching for cleverer ways to grow nanostructures with different optical properties in identical growth conditions. Engineering the catalyst brings us closer to achieving this goal.”

The researchers focused on nanowires made of gallium nitride. In its bulk (non-nanoscale) form, gallium nitride emits light in the blue or ultraviolet range. If indium atoms are added to it, the range can be extended to include red, essentially making it a broad-spectrum tunable light source in the visible range.

The problem is that adding indium atoms puts the crystal structure of gallium nitride under stress, which leads to poorly performing devices. Gallium nitride nanowires, however, don’t experience the same sort of crystal strain, so scientists hope to use them as tunable, broad-spectrum light sources.

To achieve their control, the team focused on the catalysis which guide the nanowire growth. Normally, researchers use catalysts made of a single metal. The Berkeley team decided to use metallic mixtures of gold and nickel, called alloys, as catalysts instead.

In the study, the researchers found that the gallium-nitride nanowire growth orientation strongly depended on the relative concentration of nickel and gold within the catalyst. By altering the concentrations in the alloy, the researchers could precisely manipulate, even on the same substrate in the same batch, the orientation of the nanowires.

“No one had used bi-metalic catalysts to control growth direction before,” says Tevye Kuykendall, scientist at Berkeley Lab’s Molecular Foundry. Kuykendall says the mechanism driving the new growth process is not fully understood, but it involves the different tendencies of gold and nickel to align with various crystallographic surfaces at point where nanowires start to grow.

The researchers also showed that depending on the growth direction chosen, different optical properties were observed thanks to the crystal surfaces exposed at the surface of the nanowire. “One of the things that make nanostructures interesting, is that the surface plays a larger role in defining the material’s properties,” says Aloni. This leads to changes in optical properties not seen in larger-bulk materials, making them more useful.

Aloni says the team will next focus more on the chemistry of the different nanowire surfaces to further tailor the nanowire’s optical properties.

Qualcomm Incorporated today announced that it has reached a resolution with China’s National Development and Reform Commission (NDRC) regarding the NDRC’s investigation of Qualcomm under China’s Anti-Monopoly Law (AML). The NDRC has issued an Administrative Sanction Decision finding that Qualcomm has violated the AML. Qualcomm will not pursue further legal proceedings contesting the NDRC’s findings. Qualcomm has agreed to implement a rectification plan that modifies certain of its business practices in China and that fully satisfies the requirements of the NDRC’s order.

Although Qualcomm is disappointed with the results of the investigation, it is pleased that the NDRC has reviewed and approved the Company’s rectification plan. The following are the key terms of the rectification plan:

  • Qualcomm will offer licenses to its current 3G and 4G essential Chinese patents separately from licenses to its other patents and it will provide patent lists during the negotiation process. If Qualcomm seeks a cross license from a Chinese licensee as part of such offer, it will negotiate with the licensee in good faith and provide fair consideration for such rights.
  • For licenses of Qualcomm’s 3G and 4G essential Chinese patents for branded devices sold for use in China, Qualcomm will charge royalties of 5% for 3G devices (including multimode 3G/4G devices) and 3.5% for 4G devices (including 3-mode LTE-TDD devices) that do not implement CDMA or WCDMA, in each case using a royalty base of 65% of the net selling price of the device.
  • Qualcomm will give its existing licensees an opportunity to elect to take the new terms for sales of branded devices for use in Chinaas of January 1, 2015.
  • Qualcomm will not condition the sale of baseband chips on the chip customer signing a license agreement with terms that the NDRC found to be unreasonable or on the chip customer not challenging unreasonable terms in its license agreement. However, this does not require Qualcomm to sell chips to any entity that is not a Qualcomm licensee, and does not apply to a chip customer that refuses to report its sales of licensed devices as required by its patent license agreement.

In addition, the NDRC imposed a fine on the Company of 6.088 billion Chinese Yuan Renminbi (approximately $975 million at current exchange rates), which Qualcomm will not contest. Qualcomm will pay the fine on a timely basis as required by the NDRC.

“We are pleased that the investigation has concluded and believe that our licensing business is now well positioned to fully participate in China’s rapidly accelerating adoption of our 3G/4G technology,” said Derek Aberle, president of Qualcomm. “We appreciate the NDRC’s acknowledgment of the value and importance of Qualcomm’s technology and many contributions to China, and look forward to its future support of our business in China.”

“Qualcomm has played an important role in the success of the mobile and semiconductor industries in China for many years, and we look forward to building upon this foundation as we grow our investments, engagement and business in China,” said Steve Mollenkopf, CEO of Qualcomm. “We are pleased that the resolution has removed the uncertainty surrounding our business in China, and we will now focus our full attention and resources on supporting our customers and partners in China and pursuing the many opportunities ahead.”

Qualcomm is proud to have contributed extensively for many years to the growth and success of the mobile and semiconductor industries in China, and plans to continue to grow its investments and collaborations going forward, including with China’s mobile operators and handset and other device suppliers, and within the Chinese semiconductor sector. Some recent examples of these investments and support include:

  • Providing extensive engineering assistance and support to China’s mobile operators in rolling out their 4G LTE networks in China.
  • Working closely with Chinese handset manufacturers to build their businesses both inside and outside of China as they seek to become top global brands and leading global suppliers of smartphones.
  • Expanding Qualcomm’s longstanding relationship with Semiconductor Manufacturing International Corporation (SMIC), one of China’s largest and most advanced semiconductor foundries, which has led to SMIC’s major milestone of producing high-performance, low-power mobile processors using cutting-edge advanced 28nm technology.
  • Creating a China-specific investment fund of $150 million to further the development of mobile and semiconductor technologies, including initial investments from the fund in five innovative Chinese companies.